Consulta_diseño_memoria_ram.docx

  • Uploaded by: Stalin Garcia
  • 0
  • 0
  • December 2019
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Consulta_diseño_memoria_ram.docx as PDF for free.

More details

  • Words: 2,457
  • Pages: 18
DEPARTAMENTO DE ELÉCTRICA Y ELECTRÓNICA

CIRCUITOS DIGITALES INFORME DISEÑO DE MEMORIA RAM 2º PARCIAL NRC: 5568

DOCENTE: ING. RAMIRO RÍOS

AUTORES: GARCIA STALIN ORDOÑEZ JOSSELYN Sangolquí, 26 de Junio de 2018

1. Tema Memoria RAM. 2. Objetivos 2.1 Objetivo General 

Investigar sobre la memoria RAM.

2.2 Objetivos Específicos 

Conocer detalladamente la información de la memoria 6116.



Diseñar una memoria RAM de 24 bits con 3 líneas de dirección.

3. Marco Teórico 3.1 Definición La memoria RAM (Random Access Memories) por sus siglas en inglés significa Memoria con Acceso Aleatorio, lo cual indica que se puede tener acceso fácilmente a cualquier localidad de dirección de memoria, el término RAM se utiliza con memorias de semiconductor, esto significa memoria de lectura y escritura. Las memorias RAM se usan en computadoras como medios de almacenamiento temporal para programas y datos. El contenido de las localidades de dirección será leído y escrito a medida que la computadora ejecute un programa. De esta manera la RAM debe tener ciclos de lectura y escritura rápidos para que no disminuya la velocidad de operación. (Tocci & Neal, 2001) 3.2 Características 

Son volátiles, pierden toda la información contenidas en ellas cuando se interrumpe el suministro de energía o se apagan.



Algunas RAM consumen pequeñas cantidades de potencia en el modo de espera es decir no realiza ninguna tarea de escritura o lectura.



Almacena información binaria (0 ó 1).



En la memoria se puede escribir y leer, de manera rápida y fácil. (Tocci & Neal, 2001)

3.3 Estructura Consta de varios registros, cada uno de los cuales almacenan una sola palabra de datos y tiene una dirección única. Este tipo de memoria viene con capacidades de palabras tales como: 1K, 2K, 4K, 8K, 16K, 32K, 64K, 128K, 256K y 1024K.con un tamaño de palabras de 1,4 u 8 bits. La capacidad de palabras y el tamaño pueden extenderse combinando circuitos integrados de memoria. La presente figura muestra la arquitectura simplificada de una RAM que almacena 64 palabras de 4 bits cada una. Estas palabras tienen direcciones que van de 0 a 6310. Para leer y escribir se aplica un código de dirección también se aplica un código binario a un circuito decodificador. Se sabe que 64=26 el decodificador necesita un código de 6 bits, cada código de dirección activa una determina salida del decodificador la cual habilita su registro correspondiente. (Tocci & Neal, 2001)

3.3.1

Operación de lectura El código de dirección selecciona un registro del circuito de memoria para leer o escribir, con el propósito de leer el contenido del registro ̅ ) deber ser un 1. La seleccionado, la entrada lectura y escritura (𝑅/𝑊 ̅̅̅̅̅ entrada (𝐶𝑆)

Selección de CI, tiene que ser activada en 0. La

𝑅 ̅̅̅ = 0 habilita los buffers de salida haciendo combinación: 𝑊 = 1 𝑦 ̅𝐶𝑆 ̅

que el contenido de registro seleccionado aparezca en las cuatro salidas de datos. 𝑅 ̅ 𝑊

= 1, deshabilita los buffers de entrada haciendo que las entradas de

datos no afecten la memoria durante la operación de lectura. Tener en cuenta: ̅̅̅̅̅ ̅ = ℎ𝑎𝑏𝑖𝑙𝑖𝑡𝑎𝑐𝑖ó𝑛 𝑑𝑒 𝑒𝑠𝑐𝑟𝑖𝑡𝑢𝑟𝑎, 𝑒𝑛 𝑣𝑒𝑧 𝑑𝑒 𝑊𝐸 𝑜 𝑊

𝑅 =0 ̅ 𝑊

Ilustración 1. Diagrama de bloques de una memoria RAM

(Tocci & Neal, 2001)

3.3.2

Operación de escritura Para poder escribir una nueva palabra de 4 bits en el registro seleccionado se necesita que

𝑅 ̅ 𝑊

̅̅ = 0. La presente = 0 𝑦 ̅̅ 𝐶𝑆

combinación habilita los buffers de entrada de manera que la palabra aplicada a las entradas de datos cargará en el registro seleccionado. 𝑅 ̅ 𝑊

= 0, deshabilita los buffers de salida que son de tres estados.

Por otro lado la operación escritura, destruye la palabra que antes se encontraba almacenada en la dirección. (Tocci & Neal, 2001) 3.3.3

Selección de CI Muchos circuitos de memoria tienen una o más entradas CS que se usan para habilitar o deshabilitar el circuito en su totalidad. En el modo deshabilitado todas las entradas y salidas de datos se deshabilitan de manera que no puede tener lugar ni la operación de lectura ni de escritura, de esta manera el contenido de la memoria no

se afecta. La razón para tener entradas CS será mucho más clara al momento de combinar CI de memoria para poder tener memorias mayores. Muchos fabricantes llaman a estas entradas CE (HABILITACIÓN DE CIRCUITO). Cuando las entradas CS o CE se encuentran en su estado activo, se dice que el CI de memoria ha sido seleccionado, por otro lado se puede decir que no está seleccionado. Muchos CIs de memoria están diseñados para consumir una potencia mucho menor cuando no están seleccionados. (Tocci & Neal, 2001) 3.3.4

Terminales comunes de entrada y salida Con la finalidad de conservar terminales en un encapsulado de CI, los fabricantes combinan las funciones de entrada y salida de datos 𝑅

usando terminales comunes de entrada y salida. La entrada 𝑊 controla ̅ 𝐸

la función de estas terminales 𝑆 . Durante una operación de lectura, las 𝐸

terminales 𝑆 actúan como salidas de datos que reproducen el contenido de la localidad de dirección seleccionada. Durante una operación de escritura, las terminales

𝐸 𝑆

actúan como

entradas de datos, a las cuales se aplicarán los datos a ser escritos. (Tocci & Neal, 2001)

3.4 Memoria 6116 Memoria de acceso aleatorio, Random Acces Memory (RAM), cuenta con una capacidad de 2048 palabras de 8 bits cada una, es una memoria estática de alta velocidad, está fabricada con la tecnología CMOS, opera con una fuente de alimentación de +5.0 Volts y está dispuesta en una pastilla de 24 terminales. (Esime- Ipn, 2014) 3.4.1

Características 

Organización de la memoria: 2048 X 8.



Alta velocidad: tiempo de acceso 150 nseg.



Baja potencia en estado inactivo: 10 uW.



Baja potencia en estado activo: 160 mW.



RAM completamente estática: no requiere reloj para su funcionamiento.



Temperatura de operación: 0.75 °C.



Temperatura de almacenamiento: de – 55 a + 125 °C.



Potencia de disipación: 1 W.



Todas sus entradas y salidas son compatibles directamente con la tecnología TTL.



Directamente compatible con las memorias de 16K estándar, tipo RAM 6132.

3.4.2

Descripción de los pines

Tabla 1. Descripción de los pines de la memoria 6116. A0-A10

Líneas de dirección.

E/S0-E/S7

Entrada y salida de datos.

CS

Habilitador de la pastilla.

OE

Habilitador de salidas.

WE

Habilitador para la escritura.

Vcc

Voltaje de alimentación +5.0 [V].

GND

Terminal de tierra 0.0 [V].

(Esime- Ipn, 2014) 3.4.3

Distribución de los pines

En la Ilustración 1 se pueden observar los pines que forman parte de la memoria, para explicar la distribución de los mismos se dividirá en dos partes: izquierda y derecha. Tabla 2. Distribución de los pines.

Izquierda Pin

Derecha

Ubicación

Pin

Ubicación

A7

1

VCC

34

A6

2

A8

23

A5

3

A9

22

A4

4

̅ 𝑊

21

A3

5

̅̅̅̅ 𝑂𝐸

20

A2

6

A10

19

A1

7

̅̅̅̅ 𝐶𝑆

18

A0

8

I/O 7

17

I/O 0

9

I/O 6

16

I/O 1

10

I/O 5

15

I/O 2

11

I/O 4

14

GND

12

I/O 3

13

(Esime- Ipn, 2014)

4. Planteamiento del problema. Diseñar una memoria RAM de 24 bits (8 localidades de 3bits cada una) con las siguientes características: 

Bidireccional, entrada y salida de datos en los mismos pines.



Estructura de pines semejante a la memoria 6116.



Tres señales de control.



Diseño del circuito con celdas básicas

La capacidad de una memoria viene dada por el siguiente producto: 2𝑛 𝑥 𝑁 = 𝐶𝑎𝑝𝑎𝑐𝑖𝑑𝑎𝑑 𝑑𝑒 𝑚𝑒𝑚𝑜𝑟𝑖𝑎 En donde n corresponde al número de líneas de dirección y N la cantidad de salidas, calculando entonces: 23 𝑥 𝑁 = 24 𝑏𝑖𝑡𝑠 Despejando obtenemos la cantidad de 3 salidas que tendrá la memoria RAM a diseñar. 𝑁=

24 =3 23

4.1 Diagrama de bloques

Ilustración 2. Diagrama de bloques de una Memoria RAM de 24 bits.

4.2 Declaración de variables Líneas de dirección 𝐴0 = 𝑏𝑖𝑡 𝑚𝑒𝑛𝑜𝑠 𝑠𝑖𝑔𝑛𝑖𝑓𝑖𝑐𝑎𝑡𝑖𝑣𝑜 𝑙í𝑛𝑒𝑎 𝑑𝑒 𝑑𝑖𝑟𝑒𝑐𝑐𝑖ó𝑛. 𝐸𝑛𝑡𝑟𝑎𝑑𝑎𝑠 = { 𝐴1 = 𝑏𝑖𝑡 𝑒𝑛 𝑙𝑎 𝑝𝑜𝑠𝑖𝑐𝑖ó𝑛 21 𝑙í𝑛𝑒𝑎𝑠 𝑑𝑒 𝑑𝑖𝑟𝑒𝑐𝑐𝑖ó𝑛. 𝐴2 = 𝑏𝑖𝑡 𝑚𝑎𝑠 𝑠𝑖𝑔𝑛𝑖𝑓𝑖𝑐𝑎𝑡𝑖𝑣𝑜 𝑙í𝑛𝑒𝑎𝑠 𝑑𝑒 𝑑𝑖𝑟𝑒𝑐𝑐𝑖ó𝑛. Datos de entrada 𝐼𝑂0 = 𝑏𝑖𝑡 𝑚𝑒𝑛𝑜𝑠 𝑠𝑖𝑔𝑛𝑖𝑓𝑖𝑐𝑎𝑡𝑖𝑣𝑜 𝐸𝑛𝑡𝑟𝑎𝑑𝑎\𝑆𝑎𝑙𝑖𝑑𝑎 𝑑𝑒 𝑑𝑎𝑡𝑜𝑠 { 𝐼𝑂1 = 𝑏𝑖𝑡 𝑒𝑛 𝑙𝑎 𝑝𝑜𝑠𝑖𝑐𝑖ó𝑛 21 𝐸𝑛𝑡𝑟𝑎𝑑𝑎\𝑆𝑎𝑙𝑖𝑑𝑎 𝑑𝑒 𝑑𝑎𝑡𝑜𝑠. 𝐼𝑂2 = 𝑏𝑖𝑡 𝑚𝑎𝑠 𝑠𝑖𝑔𝑛𝑖𝑓𝑖𝑐𝑎𝑡𝑖𝑣𝑜 𝐸𝑛𝑡𝑟𝑎𝑑𝑎\𝑆𝑎𝑙𝑖𝑑𝑎 𝑑𝑒 𝑑𝑎𝑡𝑜𝑠. Controlador del Circuito 𝐶𝑆(𝐿) = 𝐻𝑎𝑏𝑖𝑙𝑖𝑡𝑎𝑐𝑖ó𝑛 𝑑𝑒 𝑙𝑎 𝑚𝑒𝑚𝑜𝑟𝑖𝑎. 𝐸𝑛𝑡𝑟𝑎𝑑𝑎𝑠 = { 𝑂𝐸(𝐿) = 𝐻𝑎𝑏𝑖𝑙𝑖𝑡𝑎 𝑙𝑎 𝑙𝑒𝑐𝑡𝑢𝑟𝑎. 𝑊𝐸(𝐿) = 𝐻𝑎𝑏𝑖𝑙𝑖𝑡𝑎𝑙𝑎 𝑒𝑠𝑐𝑟𝑖𝑡𝑢𝑟𝑎. 4.3 Tablas de verdad y Mapas K Tabla 3. Controlador del Circuito

CS

OE

WE

Leer

Escribir

0

0

0

0

1

0

0

1

1

0

0

1

0

0

1

0

1

1

1

0

1

0

0

0

0

1

0

1

0

0

1

1

0

0

0

1

1

1

0

0

Para el diseño de la tabla de verdad “Controlador del circuito”, partimos del datasheet de la memoria 6116 Función: Leer

Tabla 4. Mapa K: Leer

CS OE 00

01

11

10

0 0

0

0

0

1 1

1

0

0

WE

̅̅̅ ∗ 𝑊𝐸 𝐿𝑒𝑒𝑟 = ̅𝐶𝑆 Función: Escribir Tabla 5. Mapa K: Escribir

CS OE 00

01

11

10

0 1

1

0

0

1 0

0

0

0

WE

̅̅ ∗ ̅̅̅̅̅ 𝐸𝑠𝑐𝑟𝑖𝑏𝑖𝑟 = ̅̅ 𝐶𝑆 𝑊𝐸 El diseño para las entradas de las celdas básicas dependerá tres variables: Localidad de Memoria seleccionada (Salidas del decodificador Sn = 𝑆0 , 𝑆1 , 𝑆2 , 𝑆3 , 𝑆4 , 𝑆5 , 𝑆6 , 𝑆7), el dato ingresado (Dn) y de la función del controlador del circuito Leer. Tabla 5. Tabla de verdad de S y R

Sn

Dn

Leer

F

G

0

0

0

0

0

0

0

1

0

0

0

1

0

0

0

0

1

1

0

0

1

0

0

0

1

1

0

1

0

0

1

1

0

1

0

1

1

1

0

0

Función 𝐹 = 𝑆𝑛 ∗ 𝐷𝑛 ∗ ̅̅̅̅̅̅ 𝐿𝑒𝑒𝑟 ̅̅̅̅ ∗ 𝐿𝑒𝑒𝑟 ̅̅̅̅̅̅ Función 𝐺 = 𝑆𝑛 ∗ 𝐷𝑛 4.4 Selección del Flip Flop El Flip Flop Seleccionado fue el tipo: S-R Este Flip Flop presenta la siguiente tabla Tabla 6. Tabla de Funcionamiento Flip-Flop S-R. Con Ck=1

S

R

Q(n+1)

0

0

Qn

0

1

0

1

0

1

1

1

Prohibido

Ilustración 3. Celdas básicas del Flip-Flop S-R. (Risk, 2009)

Se puede observar en la tabla de funcionamiento que existe una condición prohibida que causará inestabilidad en el Flip-Flop. Para estabilizar el circuito es necesario asegurarse que jamás se presente la condición prohibida en las entradas de las celdas básicas del flip flop S-R, construyendo un Flip Flop tipo J-K y conectando las salidas de este en las entradas de S-R.

Tabla 7. Tabla de funcionamiento Flip-flop J-K, con Ck=1

j

k

Q(n+1)

0

0

Qn

0

1

0

1

0

1

1

1

̅̅̅̅ 𝑄𝑛

De esta forma el circuito de celdas básicas del flip flop J-K será:

Ilustración 4. Flip-Flop J-K con celdas básicas. (Risk, 2009)

4.5 Simulación Bloque 1: Líneas de Datos El usuario debe seleccionar la localidad de memoria del 0 al 7 en donde quiere que se guarde el dato, a partir de las líneas de dirección ingresadas en el decodificador 74LS138.

Bloque 1: Líneas de Datos.

Bloque 2: Datos de entrada y salida Una de las características del diseño fue el de crear una memoria bidireccional, es decir que a través de los datos de entrada y salida se obtienen de los mismos pines, es una característica de la memoria RAM. Para esto utilizamos tres tri-states que van conectados a los switch de ingreso de datos y a la información guardada en las celdas básicas cuyos pines llevan el nombre de: IO0, IO1 e IO2, que se conectan a un display de cátodo común para que puedas ser visualizados los lados. El tercer pin del tri-states que tiene la función de decidir que dato mostrar se conecta al pin “Leer” resultado de la función de los controladores del circuito, de esta forma cuando el usuario desee leer un dato deberá enviar un nivel alto (H) a la salida del switch WE causando un aislamiento eléctrico entre el switch de ingreso de datos y las salidas de las celdas básicas, el cual corresponde al dato guardado que será visualizado en el decodificador.

Si el usuario desee escribir un nuevo dato en una localidad seleccionada, deberá enviar un nivel bajo (L) en WE para que el dato ingresado a través de los switch sea visualizado en el display.

Ilustración 5. Bloque 2: Datos de entrada y salida.

Bloque 3: Controlar del Circuito Al realizar una tabla de verdad con las variables que controlan el circuito pudimos observar que no importan los valores que presente OE, toda las funciones de lectura y escritura dependen directamente de WE, es decir mientras esté presente un bajo (L) el usuario podrá ingresar un nuevo dato y cuando este en alto se podrá leer el dato.

Ilustración 6. Bloque 3. Controlador del Circuito

Bloque 4: Celda Básica El bloque 4 contiene 24 celdas básicas, por la capacidad de la memoria solicitada en el enunciado del ejercicio. Podemos observar de derecha a izquierda la conexión de las entradas de las celdas básicas de un flip-flop tipo S-R con las salidas de un J-K, las mismas que tienen en sus entradas funciones obtenidas a partir de la primera tabla de verdad que se ajustan a las condiciones del circuito. Al ser una memoria de 24 bits puede almacenar un palabra de 3 bits, por eso se colocaron 3 columnas de celdas básicas por 8 filas.

Ilustración 7. Bloque 4: Celda básica

5. Conclusiones 

El diseño de una memoria RAM implica conocer la estructura de algunos elementos electrónicos en especial de los Flip-Flop, porque a travez de ellos podemos diseñar como en este caso sus celdas básicas que nos ayudan a diseñar una memoria ram que cumpla con su Datasheet, con una característica de la memoria RAM pudimos conocer que es (Bidireccional, entrada y salida de datos en los mismos pines), con esto fue posible realizarlo con los elementos TriStates que presentan un estado de alta impedancia cuando el usuario desea ingresar un dato en una localidad, además esta idea surgió a partir del datasheet donde presentaban un diagrama de bloques con estos elementos, permitiéndonos el diseño de un circuito funcional.

6. Bibliografía 

Esime- Ipn. (2014). esime-ipn. Recuperado el 05 de Agosto de 2017, de http://esime-ipn.blogspot.com/2015/10/manejo-de-una-memoria-ram-6116.html



Risk, M. (Septiembre de 2009). Lógica Digital 2: circuitos y memorias. Obtenido de https://www.dc.uba.ar/materias/oc1/2012/c1/descargas/C05-secuenciales.pdf



Tocci, R. J., & Neal, W. (2001). SISTEMAS DIGITALES (Octava ed.). New Jersey, U.S.A: Prentice Hall. Recuperado el 05 de Agosto de 2017

7. Anexos

Datasheet memoria 6116.

Datasheet memoria 6116.

More Documents from "Stalin Garcia"

December 2019 6
Unidad Educativa 2.docx
November 2019 15
Planes.docx
December 2019 19
November 2019 16