Presentation Calculateur

  • April 2020
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Presentation Calculateur as PDF for free.

More details

  • Words: 1,945
  • Pages: 38
Calculateur pour pilote automatique de bateau Bilan de l’empreinte carbone et ingénierie concurrente d’un système électronique embarqué

Présentation du système

Présentation du système Etude Projet Pédagogique Conclusion

2

Concours Professeur Agrégé

L’environnement

Présentation du système Cap, Position / Nord magnétique: COMPAS Etude

Position GPS Vent: sens, direction, force Anémomètre-Girouette

Projet Pédagogique Conclusion

Enjeux : Eco-conception, Co-design, CEM -Marché économique -Cycle de vie, environnement 3

Concours Professeur Agrégé

Positionnement du système

Présentation du système Etude Projet Pédagogique Conclusion

4

Concours Professeur Agrégé

Besoin d’usage

Présentation du système Etude Projet Pédagogique Conclusion

5

Concours Professeur Agrégé

Déploiement

Présentation du système Etude Projet Pédagogique Conclusion

6

Concours Professeur Agrégé

Etude du système

Présentation du système Etude Projet Pédagogique Conclusion

7

• Une étude concurrente logicielle et matérielle, (co-design) • Problématiques technologiques : - Pilotage automatique d’un bateau, - Gestion de l’énergie d’un système embarqué, - Immunité et perturbations électromagnétiques, - Protection contre les décharges électrostatiques, - Bilan de l’impact carbone, éco-conception. Concours Professeur Agrégé

Pilotage automatique

Présentation du système Etude Projet Pédagogique Conclusion

8

Concours Professeur Agrégé

Ingénierie concurrente UML

VHDL-AMS

Présentation du système Etude

Energie ESD CEM

Projet Pédagogique Conclusion

9

Concours Professeur Agrégé

Gestion de l’énergie

Présentation du système Etude Projet Pédagogique Conclusion

Schéma S4, S5 10

Concours Professeur Agrégé



312 W max en activité, 500 mW en veille

Multiplicateur de tension -- genhdl\intermos/intermos.vhd -- Generated by SystemVision netlister 1.0 build 2006.34.1

Présentation du système Etude

library ieee; library edulib; use ieee.std_logic_1164.all; use ieee.electrical_systems.all; use ieee.mechanical_systems.all; use ieee.fluidic_systems.all; use ieee.thermal_systems.all; use ieee.radiant_systems.all; use work.all; entity INTERMOS is end entity INTERMOS;

Projet Pédagogique Conclusion

C99 : entity EDULIB.CAPACITOR(IDEAL) generic map ( CAP => 10.0E-6 ) port map ( P1 => E_2, P2 => V_12V ); C105 : entity EDULIB.CAPACITOR(IDEAL) generic map ( CAP => 10.0E-6 ) port map ( P1 => S_OUT, P2 => E_2 ); C100 : entity EDULIB.CAPACITOR(IDEAL) generic map ( CAP => 10.0E-6 ) port map ( P1 => S_OUT, P2 => ELECTRICAL_REF );

architecture arch_INTERMOS of INTERMOS is terminal V_PULSE: ELECTRICAL; terminal E_1: ELECTRICAL; terminal E_2: ELECTRICAL; D22 : entity EDULIB.DIODE(IDEAL) terminal V_12V: ELECTRICAL; port map ( P => V_12V, terminal E_3: ELECTRICAL; N => E_1 ); terminal S_OUT: ELECTRICAL; D23 : entity EDULIB.DIODE(IDEAL) port map ( P => E_1, begin N => E_2 ); C104 : entity EDULIB.CAPACITOR(IDEAL) generic map ( CAP => 10.0E-6 ) port map ( P1 => E_3, P2 => V_PULSE );

11

C101 : entity EDULIB.CAPACITOR(IDEAL) generic map ( CAP => 10.0E-6 ) port map ( P1 => E_1, P2 => V_PULSE );

Concours Professeur Agrégé

D24 : entity EDULIB.DIODE(IDEAL) port map ( P => E_2, N => E_3 ); D25 : entity EDULIB.DIODE(IDEAL) port map ( P => E_3, N => S_OUT );

V_PULSE1 : entity EDULIB.V_PULSE(IDEAL) generic map ( AC_MAG => 1.0, PERIOD => 4.4 S, PULSE => 12.0, WIDTH => 2.2 S ) port map ( POS => V_PULSE, NEG => ELECTRICAL_REF ); V1 : entity EDULIB.V_CONSTANT(IDEAL) generic map ( LEVEL => 12.0 ) port map ( POS => V_12V, NEG => ELECTRICAL_REF ); end architecture arch_INTERMOS;

Multiplicateur de tension

Présentation du système Etude Projet Pédagogique Conclusion

12

-- Use proposed IEEE natures and packages library IEEE; Library IEEE; -- This function is to limit the exponential use IEEE.electrical_systems.all; use IEEE.math_real.all; function to avoid convergence -- Use IEEE natures and packages -- problems due to numerical overflow. entity capacitor is use IEEE.electrical_systems.all; At x=100, it becomes a straight line -- FUNDAMENTAL_CONSTANTS package -- with slope matching that at the generic ( cap : capacitance; needed for Boltzmann constant intercept. -- Capacitance [F] -- (PHYS_K = Joules/Kelvin) and electron v_ic : real := real'low); charge (PHYS_Q = coulomb) function limit_exp( x : real ) return real is -- Initial voltage use IEEE.FUNDAMENTAL_CONSTANTS.all; variable abs_x : real := abs(x); --(activated by IF statement below) variable result : real; entity diode is begin port ( terminal p1, p2 : electrical); generic ( Isat : current := 1.0e-14); -if abs_x < 100.0 then Saturation current [Amps] result := exp(abs_x); end entity capacitor; port ( terminal p, n : electrical); else ----------------------------------------------result := exp(100.0) * (abs_x - 99.0); -- Ideal Architecture (I = C * dV/dt) end entity diode; end if; -- Includes initial condition ------------------------------------------------------------------------------------------------------- -- If exponent is negative, set exp(-x) = architecture ideal of capacitor is -- Ideal Architecture: i = is*(exp(v/vt) - 1) 1/exp(x) --------------------------------------------------------if x < 0.0 then quantity v across i through p1 to p2; architecture ideal of diode is result := 1.0 / result; end if; Begin -- Declare internal quanties and constants return result; quantity v across i through p to n; end function limit_exp; if domain = quiescent_domain and v_ic /= begin -- ideal architecture real'low use constant TempC : real := 27.0; v == v_ic; -- Ambient Temperature [Degrees] -- Fundamental equation else constant TempK : real := 273.0 + TempC; i == Isat*(limit_exp(v/vt) - 1.0); i == cap * v'dot; -- Fundamental -- Temperaure [Kelvin] equation constant vt : real := end architecture ideal; end use; PHYS_K*TempK/PHYS_Q; -- Thermal end architecture ideal; Voltage Concours Professeur Agrégé

Multiplicateur de tension

Présentation du système Etude Projet Pédagogique Conclusion

13

Concours Professeur Agrégé

Immunité aux perturbations • Sources de perturbations externes Présentation du système

• Sources de perturbations internes

Etude

• Perturbations conduites et rayonnées

Projet Pédagogique

Boucle et aire de courant

Conclusion Capacités parasites du circuit

14

Concours Professeur Agrégé

Bouclage des Masses

Immunité aux perturbations Blindage du boîtier Matériel amagnétique µr=1, susceptibilité magnétique=0

Présentation du système Etude Projet Pédagogique

Séparation physique des parties différentes et masses

Conclusion

15

Concours Professeur Agrégé

Immunité aux perturbations

Présentation du système Etude Projet Pédagogique Conclusion

16

Concours Professeur Agrégé

Immunité aux perturbations Découplage au plus près des circuits intégrés pour abaisser l’impédance des lignes lors des perturbations Présentation du système Etude Projet Pédagogique Conclusion

17

Concours Professeur Agrégé

Perturbations Norme européenne pour les appareils de traitement de l’information

Présentation du système Etude Projet Pédagogique Conclusion

18

Concours Professeur Agrégé

Protections contre les décharges électrostatiques Varistances , MOV V33ZA_ Présentation du système Etude Projet Pédagogique Conclusion

19

Concours Professeur Agrégé

Limitation des perturbations Limitation des variations de tension dans le temps

Présentation du système Etude Projet Pédagogique

------------------------------------------------------------------banc d'essai -______ _____ ____ -| _ | | | | | -| _| |_ |---o----| cna |---o-----| RC | -|______ | |_____| |____| -----------------------------------------------------------------LIBRARY DISCIPLINES; LIBRARY IEEE; USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL; USE IEEE.MATH_REAL.ALL; ENTITY essai IS END;

Conclusion ARCHITECTURE behav OF essai IS TERMINAL n1 : ELECTRICAL; SIGNAL S1 : bit; BEGIN RC1: ENTITY RC (behav) PORT MAP (n1,electrical_ground); DA1: ENTITY can (behav) PORT MAP (n1,electrical_ground,S1); trm: ENTITY trame (behav) PORT MAP (S1); END;

20

Concours Professeur Agrégé

Bilan de l’impact carbone, éco-conception Présentation du système Etude Projet Pédagogique Conclusion

21

Concours Professeur Agrégé

Projet pédagogique Présentation du système Etude Projet Pédagogique Conclusion

• • • •

Du réel au réel en passant par le modèle Etude de système, SHEBT Une taxonomie CAACAT Référentiel du BTS ATI Calculateur pour pilote automatique de bateau UML : Unified Modeling Language VHDL-AMS: Very high speed integrated circuit Hardware Description Language

Ingénierie Concurrente et éco- conception 22

Concours Professeur Agrégé

Etude Projet Pédagogique Conclusion

23

Concours Professeur Agrégé

Progression

Présentation du système

Thèmes d’intérêts Présentation du système Etude

Thème 1 : Etude du système pour mettre en perspective l’ensemble d’éléments en interactions, organisé, répondant à un besoin, identifiable par une frontière, consommant de l’énergie et ayant une dynamique.

Projet Pédagogique Conclusion

Thème 2 : Etude des méthodes de travail et solutions constructives Thème 3 : Les enjeux de l’électronique embarquée

24

Concours Professeur Agrégé

Compétences • •

Présentation du système Etude Projet Pédagogique Conclusion

• • • • • • • • • • • •

25

C71 Mettre en œuvre des postes d’essais et mesures C6 Organiser et suivre - Un projet - La qualité - La maintenance - La sécurité - La qualité C55 Etablir et rédiger un compte rendu de réunion C54 Etablir et rédiger un devis C51 Etablir et rédiger un cahier des charges C52 Etablir et rédiger une notice technique C42 Déterminer les performances d’un système par l’expérimentation C41 Déterminer les performances d’un système par le calcul C31 Concevoir ou modifier une solution technique C2 Analyser un document technique, une solution technique, une norme, un protocole d’essais. C14 Dialoguer avec fournisseurs, utilisateurs, clients, personnels C13 Présenter oralement un document C12 Participer à la veille technologique C11 Rechercher et trier des informations pour mettre à jour une base de données ou une bibliothèque

Concours Professeur Agrégé

Savoirs et objectifs Présentation du système Etude Projet Pédagogique

S84 : Les normes S841 : Normes et conventions relatives :  Aux règles d’exécution des installations,  Repérage des circuits,  Outils de représentation graphique  Règles d’exécution des schémas S842 : Logiciels de DAO

Conclusion

26

Concours Professeur Agrégé

Etre capable d’exécuter les schémas dans le cadre d’un travail collaboratif.

Etre capable d’établir un document technique avec le logiciel ISIS.

Savoirs et objectifs S101 : Analyse des systèmes existants Présentation du système Etude Projet Pédagogique Conclusion

27

S1011 : Etude fonctionnelle et Etre capable de donner les cas structurelle des systèmes d’utilisation du système. S1012 : Analyse technologique Etre capable d’appréhender les contraintes économiques et environnementales du système. S1013 : Evaluation des Etre capable de mettre en performances œuvre le système du laboratoire et vérifier le mode de marche CAP S1014 : Rédaction d’une notice  Etre capable de mettre sous technique forme de diagramme de séquence la procédure de réglage à quai.  Etre capable de réaliser les schémas

Concours Professeur Agrégé

Activités Travail Collaboratif en bureau d’étude Présentation du système

Préconditions (Prérequis) : Utilisation de l’outil informatique Reconnaître le schéma des composants de base

Etude Projet Pédagogique Conclusion

28

Postconditions (Acquis) : L’étudiant sait placer un composant ou en créer un L’étudiant sait rappeler le composant qu’il a créé dans la bibliothèque L’étudiant reconnait de nouveaux composants L’étudiant sait documenter son schéma en vue de le laisser à une autre équipe L’étudiant sait s’adapter au sein d’une équipe de conception

Concours Professeur Agrégé

Activités BTS ATI 1 Thème 2

Système Calculateur Pilote Travail Collaboratif en bureau d’étude

Travaux pratiques Tp21

Problématique :

Présentation du système

Mise à jour d’un même dossier technique par des techniciens répartis sur des sites différents de l’entreprise. Compétences : C52 : Etablir et rédiger une notice technique.

Etude

C11 : Mettre à jour une base de données. Objectifs :

Projet Pédagogique Conclusion

Etre capable de faire un schéma structurel à l’aide de l’outil CAO ISIS à partir du schéma constructeur papier. Savoirs associés :

S1014, S84, S832

Durée :

4H

Critères d’évaluation : Choix de l’espace de travail. Choix des composants normalisés. Placement des composants Création de composant n’existant pas en bibliothèque. Qualité du compte rendu détaillé, en démarche et comprenant le résultat du travail final.

29

Concours Professeur Agrégé

Etude Projet Pédagogique Conclusion

30

Concours Professeur Agrégé

Déroulement de la séquence

Présentation du système

Travaux Etudiants Présentation du système Etude Projet Pédagogique Conclusion

31

Concours Professeur Agrégé

Conclusion Présentation du système

Une Approche de systèmes complexes Utilisation Passionnante d’outils Performants de conception concurrente

Etude Projet Pédagogique Conclusion

Une Perception de l’éco-conception

Une Richesse technologique et pédagogique de systèmes embarqués

Des étudiants captivés

32

Concours Professeur Agrégé

Calculateur pour pilote automatique de bateau Présentation du système Merci de votre écoute.

Etude Projet Pédagogique Conclusion

33

Concours Professeur Agrégé

luyf

Savoirs et objectifs Présentation du système Etude Projet Pédagogique

Savoirs associés S81 : Les interfaces électroniques S811 : Convertisseurs  Convertisseur analogiquedigital  Convertisseur digitalanalogique

Conclusion

38

Objectifs

Concours Professeur Agrégé

 Etre capable d’identifier les différentes technologies de CAN présentes dans le système.  Etre capable de mettre en œuvre une CAN d’un microcontrôleur.

Related Documents

Presentation
May 2020 0
Presentation
May 2020 0
Presentation
June 2020 0
Presentation
June 2020 0