Magnitude 2

  • November 2019
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Magnitude 2 as PDF for free.

More details

  • Words: 1,047
  • Pages: 5
port (x := in std_logic_vector (7 downto 0); y := out std_logic_vector (2 downto 0)); with x ____ y <= "010" when "0000 0000"; "001" when "0000 0001"; "001" when "0000 0010"; "001" when "0000 0011"; "001" when "0000 0100"; "001" when "0000 0101"; "001" when "0000 0110"; "001" when "0000 0111"; "001" when "0000 1000"; "001" when "0000 1001"; "001" when "0000 1010"; "001" when "0000 1011"; "001" when "0000 1100"; "001" when "0000 1101"; "001" when "0000 1110"; "001" when "0000 1111"; "100" "010" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001 "0001

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "010" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010 "0010

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" when "0011 0000";

"100" "100" "010" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when

"0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011 "0011

0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "010" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100 "0100

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "010" "001" "001" "001" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101 "0101

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "010" "001"

when when when when when when when when

"0110 "0110 "0110 "0110 "0110 "0110 "0110 "0110

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111";

"001" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when

"0110 "0110 "0110 "0110 "0110 "0110 "0110 "0110

1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "100" "010" "001" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111 "0111

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "100" "100" "010" "001" "001" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000 "1000

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "100" "100" "100" "010" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when

"1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001 "1001

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110";

"001" when "1001 1111"; "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "010" "001" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010 "1010

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "010" "001" "001" "001" "001"

when when when when when when when when when when when when when when when when

"1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011 "1011

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "010" "001" "001" "001"

when when when when when when when when when when when when when when when when

"1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100 "1100

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100"

when when when when when

"1101 "1101 "1101 "1101 "1101

0000"; 0001"; 0010"; 0011"; 0100";

"100" "100" "100" "100" "100" "100" "100" "100" "010" "001" "001"

when when when when when when when when when when when

"1101 "1101 "1101 "1101 "1101 "1101 "1101 "1101 "1101 "1101 "1101

0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "010" "001"

when when when when when when when when when when when when when when when when

"1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110 "1110

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "100" "010"

when when when when when when when when when when when when when when when when

"1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111 "1111

0000"; 0001"; 0010"; 0011"; 0100"; 0101"; 0110"; 0111"; 1000"; 1001"; 1010"; 1011"; 1100"; 1101"; 1110"; 1111";

"x" when otherwise;

Related Documents