Decoder

  • November 2019
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Decoder as PDF for free.

More details

  • Words: 71
  • Pages: 1
3:1 DECODER library ieee; use ieee.std_logic_1164.all; entity dec is port( input:in bit_vector (0 to 2); y:out bit_vector (0 to 7)); end dec; architecture be_dec of dec is begin process(input) begin case input is when "000" => y<="00000000"; when "001" => y<="01000000"; when "010" => y<="00100000"; when "011" => y<="00010000"; when "100" => y<="00001000"; when "101" => y<="00000100"; when "110" => y<="00000010"; when "111" => y<="00000001"; end case; end process; end be_dec;

Related Documents

Decoder
November 2019 26
Decoder
May 2020 18
Decoder
November 2019 24
Decoder
November 2019 14
Manuale Decoder
October 2019 23
Java Decoder
November 2019 14