03_detektor Bcd

  • May 2020
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View 03_detektor Bcd as PDF for free.

More details

  • Words: 1,998
  • Pages: 24
LAPORAN 03 PRAKTIKUM PERANCANGAN SISTEM ELEKTRONIKA

DETEKTOR BCD

Disusun oleh : ABDUL HARIS HERYANI 06502241010

JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA 2009

A. Kompetensi Setelah selesai praktikum mahasiswa dapat : Membuat detektor BCD B. Sub Kompetensi Setelah selesai praktikum mahasiswa dapat: 1. Merancang rangkaian sekuensial mode clock 2. Membuat detector BCD

C. Dasar Teori Diagram Umum Rangkaian Sekuensial X

Z

Rangkaian kombinatoria l

mi = masukan memori mo = keluaran memori

mo

CLK

x = masukan

mi

Z

= keluaran

CLK = clock

Ragkaian memori

Gb.1 Diagram Sekuensial Prosedur perancangan : 1. Urutan langkah langkah dalam proses analisis adalah Persamaa Persamaa Tabel n n Keadaan Rangkaia transisi masukan berikutny n elemen a memori

Diagram keadaan

Gb.2 Diagram Proses Analisis 2. Urutan langkah langkah dalam proses sintesis; Diag. Keadaa Diskripsi Fungsi

1

Tabel. Keadaa

2

Tabel keadaa n

minim

3

Tabel Transi si

State assignment

Gb.3 Diagram Proses Sintesis

Pers. masuka n elemen memori

4

5 Rangkaian

D. Alat/Instrumen Catu Daya DC 5V

Transistor 3 buah

Saklar secukupnya

Lampu Indikator

IC 7447 3 buah

Decoded 7 segment

Pembangkit pulsa

Resistor 220 Ohm 1.buah

E. Langkah Kerja Merancang detektor BCD dengan langkah sebagai berikut: 1. Mendiskripsikan fungsi detektor BCD: Rangkaian detektor BCD dapat mendeteksi kode BCD yang dimasukan lewat X secara berturutan dalam 4 kali pereode clock. Output Z akan berlevel 0 bila yang dimasukan termasuk kode BCD, dan akan berlevel 1 bila yang dimasukkan bukan kode BCD 2. Membuat diagram keadaan A, B, C, ... L, N, dan

0 /0

A

1 /0

O adalah state XП/Z

0 /0

X = masukan ( 0 / 1) П = pulsa clock Z = output

0 /0

C

D

B

1 /0 0 /0

E

0 /0

1 /0

G

F

1 /0 0 /0

H

K

J

I

1 /0

1 /0 0 /0

L

N

M

1 /0

O

0,1 /0 0,1 /0 0,1 /0 0,1 /0 0,1 /0 0,1 /1 0,1 /1 0,1 /1 Gb.4 Diagram Keadaan 3. Ubah diagaram keadaan dalam bentuk tabel keadaan Keadaa Awal Qt A B C D E F G H I J K L M N O

Keadaan Berikut Qt+1, Z X=0 X=1 B,0 C,0 D,0 A,0 A,0 G,0 A,0 A,0 J,0 K,0 A,0 A,1 A,0 A,1 A,1

I,0 F,0 E,0 A,0 A,0 H,0 A,0 A,0 M,0 L,0 A,0 A,1 O,0 A,1 A,1

4. Mereduksi keadaan dari dari tabel -1 dengan cara ” berawal dari keadaan yang berbeda menghasilkan keadaan berikut yang sama berati keadaan awal itu identik ” Keadaan Awal Qt A B C (CF) D (DEGHK) E F G H I J K L (L N O) M N O

Keadaan Berikut Qt+1, Z X=0 X=1 B, 0 I ,0 C (CF), 0 F (CF), 0 D (DEGHK), 0 E (DEGHK), 0 A ,0 A ,0 A ,0 A ,0 G (DEGHK), 0 H (DEGHK), 0 A ,0 A ,0 A ,0 A ,0 J ,0 M ,0 K (DEGHK), 0 L (LNO), 0 A ,0 A ,0 A ,1 A ,1 O (LNO), 0 O (LNO), 0 A ,1 A ,1 A ,1 A ,1

5. Menentukan tabel keadaan minimal Tabel 3. Tabel Keadaan Tereduksi Keadaan Awal Qt

Keadaan Berikut Qt+1, Z X=0 X=1 B, 0 I ,0 CF, 0 CF, 0 DEGHK, 0 DEGHK, 0 A ,0 A ,0 J ,0 M ,0 DEGHK, 0 LNO, 0 A ,1 A ,1 LNO, 0 LNO, 0

A B CF DEGHK I J LNO M

6. Membuat diagram keadan minimal A 0/ 0

B

1/ 0

I

x/0

x/0

CF x/0 DEGHK

1/ 0

0/ 0 J 0/ 0

M 1/ 0

x/1

x/0 LNO

Gb.5 Diagram Keadaan Minimal 7. Menentukan jumlah FF, dan persamaan masing-masing masukan, serta persamaan ouput Z.

8. Implementasikan formula dalam bentuk rangkaian 9. Amati tampilan pada seven segmen dan LED 10.Juga amati hubungan input, memori, dan output, dengan Logik analyser bila di beri masukkan Word Generator F. ANALISA DATA Merancang detektor BCD dengan langkah sebagai berikut: 1. Mendiskripsi fungsi detektor BCD:

Rangkaian detektor BCD dapat mendeteksi kode BCD yang dimasukan lewat X secara berturutan dalam 4 kali pereode clock. Output Z akan berlevel 0 bila yang dimasukan termasuk kode BCD, Output Z akan berlevel 1 bila yang dimasukkan bukan kode BCD. 2. Membuat diagram keadaan

3. Mengubah diagram keadaan dalam bentuk tabel keadaan, kemudian

mereduksi keadaan dari dari tabel -1 dengan cara ” berawal dari keadaan yang berbeda menghasilkan keadaan berikut yang sama berati keadaan awal itu identik ” Tabel keadaan

Reduksi Tabel Keadaan

4. Menentukan tabel keadaan minimal, kemudian membuat diagram

keadaan minimal Tabel keadaan minimal Keadaan Awal Qt

Keadaan Berikut

LNO M

X=0 B, 0 CF, 0 DEGHK, 0 A ,0 J ,0 DEGHK, 0 A ,1 LNO, 0

Keada

Keadaan

Awal

Qt+1, Z X= X=1

A B CF DEGHK I J

A B C D I J L M

Diagram keadaan minimal

B, 0 C, 0 D, 0 A,0 J,0 D, 0 A, 1 L, 0

I,0 C, 0 D, 0 A,0 M,0 L, 0 A,1 L, 0

X=1 I ,0 CF, 0 DEGHK, 0 A ,0 M ,0 LNO, 0 A ,1 LNO, 0

5. Membuat tabel transisi

Tabel transisi

Awal Qt

Qt+1,Z (X = 0)

Qt+1,Z (X = 1)

Q2 Q1 Q0 D2 D1 D0 Z D2 D1 D0 Z 0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

0 1 1 0 0 1 1 0

0 0 0 0 1 0 0 1

0 1 1 0 0 1 0 1

1 1 0 0 1 0 0 1

0 0 0 0 0 0 1 0

1 0 0 0 1 1 0 1

0 1 1 0 1 1 0 1

0 1 0 0 0 1 0 1

0 0 0 0 0 0 1 0

6. Menentukan jumlah FF, dan persamaan masing-masing masukan, serta persamaan ouput Z.

D2 XQ 2

D2 = XQ2Q1 D1 XQ 2

Q1 Q0 0 0 0 1 0 0 0 0 1 1 1 1 1 1 1 0 Q2Q0

1 1

1 0

0

0

0

0

0

1

1

0

1

0

0

0

+

XQ1Q0

Q1 Q0 0 0 0 1

1 1

0 0 1 1 0 0 0 1 0 1 1 1 1 0 1 1 0 1 1 0 D1 = XQ2Q0 +XQ2Q1 +Q2Q0 + Q2Q1Q0

D0 XQ 2

+

1 0 0 1 1 0 + Q1Q0

Q1 Q0 0 0 0 1

0 1 1 0 0 1 0 1 1 0 1 1 1 0 1 0 D0 = XQ1Q0 + XQ1Q0 + Q2Q1Q0 Q1 Z Q0 XQ 0 0 2 0 1 0 0 0 0 0 0 0 1 1 0 0 1 1 0 0 0 Z = Q2Q1Q0

1 1

1 0

0

0

0

1

0

1

0

0

XQ2Q1 +

1 1

1 0

0

0

1

0

1

0

0

0

Dari hasil penghitungan menggunakan peta karnaugh, maka dihasilkan formula masukkan untuk masing-masing flip-flop adalah sebagai berikut: D2 = Q2Q0 + XQ1Q0 + XQ2Q1

D1 = XQ2Q0 +XQ2Q1 + Q1Q0 +Q2Q0 + Q2Q1Q0 D0 = XQ1Q0 + XQ2Q1 + XQ1Q0 + Q2Q1Q0 Z = Q2Q1Q0 7. Mengimplementasikan formula dalam bentuk rangkaian

Dengan formula masukkan yang didapatkan untuk flip-flop : a. D2 terdapat 1 buah gerbang OR dengan 3 input dari output 3 buah

gerbang AND b. D1 terdapat 1 buah gerbang OR dengan 5 input dari output 5 buah

gerbang AND c. D0 terdapat 1 buah gerbang OR dengan 4 input dari output 4 buah gerbang AND d. Z terdapat 1 buah gerbang AND dengan 3 input dari output Q2, Q1 dan Q0 Terdapat X sebagai input, terdapat Z sebagai output ( Z akan nyala (berlogika 1) sebagai indikasi bahwa detektor BCD bekerja, yaitu ketika lampu nyala berrati sudah bukan BCD lagi). Kemudian C sebagai Clock yang berfungsi untuk mengeksekusi. Rangkaiannya adalah sebagai berikut:

8. Mengamati tampilan pada seven segmen dan LED

Kondisi A, dengan : C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 0 Q0 = 0

Kondisi B, dengan : C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 0 Q0 = 1

Kondisi C, dengan : C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 1 Q0 = 1

Kondisi D, dengan : C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 1 Q0 = 1

Kembali pada kondisi A, dengan : C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 0 Q0 = 0

Kondisi I, dengan C

=

1

X

=

1

Z

=0

Q2 = 1 Q1 = 0 Q0 = 0

Kondisi M, dengan C

=

1

X

=

1

Z

=0

Q2 = 1 Q1 = 1 Q0 = 0

Kondisi L, dengan C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 0 Q0 = 0

Kembali ke kondisi L,dengan : C

=

1

X

=

1

Z

=0

Q2 = 0 Q1 = 0 Q0 = 0

Kondisi I, dengan C

=

1

X

=

1

Z

=0

Q2 = 1 Q1 = 0 Q0 = 0

Kondisi J, dengan : C

=

1

X

=

1

Z

=0

Q2 = 1 Q1 = 0 Q0 = 0

Kondisi D, dengan : C

=

1

X =

0

Z

=0

Q2 = 0 Q1 = 1 Q0 = 1

Kembali pada kondisi A, dengan : C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 0 Q0 = 0

Kondisi I, dengan C

=

1

X

=

1

Z

=0

Q2 = 1 Q1 = 0 Q0 = 0

Kondisi J, dengan : C

=

1

X

=

1

Z

=0

Q2 = 1 Q1 = 0 Q0 = 0

Kondisi L, dengan C

=

1

X

=

0

Z

=0

Q2 = 0 Q1 = 0 Q0 = 0

Kembali ke kondisi L,dengan : C

=

1

X

=

1

Z

=0

Q2 = 0

Q1 = 0 Q0 = 0

Didapatkan dua cara agar detektor BCD dapat mengindikasikan yang nonBCD : a. Dengan X = 1, clock sebanyak 3 kali (nilai terbaca 0,4,6) untuk clock

selanjutnya output (Z) berlogika 1 (nilai terbaca 7 ) mengindikasikan detektor BCD mendeteksi non –BCD. b. Dengan X = 1, clock sebanyak 2 kali kemudian menginstruksikan X =

0, clock sebanyak sekali (nilai terbaca 0, 4, 5) kemudian menginstruksikan kembali X = 1, maka output (Z) berlogika 1 (nilai terbaca 7 ) mengindikasikan detektor BCD mendeteksi non –BCD. 9. Mengamati hubungan input, memori, dan output, dengan Logik analyser

bila di beri masukkan Word Generator.

Sesuai masukan dari word generator maka terlihat pada red indikator, seven segment dan logic analyzer seperti berikut:

0001 0000

0010

0001

0001

0000

0010

0001 0000 0001 0000

0001 0010

0001

0001

0000

0010

0001

0001

0000

0010 0001

0001

0000

0010 0001

0001

0010

0010

0001

0001

0000

0000

0000 0000

Dari tampilan yang ada bisa disimpulkan bahwa rangkaian yang dibuat merupakan rangkaian detektor BCD, dimana ketika ada bilangan non-BCD output Z akan berlogika 1 dan sebaliknya ketika ada bilangan BCD, output Z akan berlogika 0. Tampilan yang dihasilkan dengan pengaturan clock dan input X sesuai dengan diagram keadaan minimal.

G. KESIMPULAN 1. Rangkaian detektor BCD merupakan rangkaian filter (penyaring dari input X), dimana output Z akan berlogika (level) = 0 apabila kode yang dimasukkan merupakan kode BCD, dan output Z akan berlogika (level) = 1 apabila kode yang dimasukkan bukan merupakan kode BCD. 2. Pada implementasinya, rangkaian detektor BCD dapat dibangun dari 4 buah Flip – Flop dan beberapa gerbang logika yang dikombinasikan berdasarkan data perencanaan (penyederhanaan). 3. Langkah-langkah melakukan perancangan rangkaian sekuensial mode

clock adalah sebagai berikut: a. Mendiskripsikan fungsi detektor BCD b. Membuat diagram keadaan c. Tabel keadaan d. Reduksi Tabel Keadaan e. Tabel keadaan minimal f. Diagram keadaan minimal g. Tabel transisi h. Perumusan formula masukan menggunakan peta karnaugh

Related Documents

Bcd
November 2019 4
Bcd
December 2019 7
Passportnoc Bcd
November 2019 5
03_detektor Bcd
May 2020 6
090409 Bcd Design Is
April 2020 5