Hardware Libre: La Tarjeta Skypic, Una Entrenadora Para Microcontroladores Pic

  • Uploaded by: Juan Gonzalez Gomez
  • 0
  • 0
  • May 2020
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Hardware Libre: La Tarjeta Skypic, Una Entrenadora Para Microcontroladores Pic as PDF for free.

More details

  • Words: 3,553
  • Pages: 10
Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Juan Gonz´ alez-G´ omez1 y Andr´es Prieto-Moreno Torres2 1

Escuela Polit´ecnica Superior, Universidad Aut´ onoma de Madrid, [email protected] 2 Ifara Tecnolog´ıas, [email protected]

Resumen Las ideas del software libre se est´ an extendiendo a otros a ´mbitos. Uno de ellos es el hardware. En este art´ıculo presentamos una tarjeta libre, para desarrollo de proyectos con microcontroladores PIC. Es hardware libre, por lo que cualquiera la puede utilizar, estudiar, fabricar, modificar, distribuir y redistribuir las modificaciones. Las aplicaciones principales son la rob´ otica y la docencia, aunque se puede utilizar en cualquier proyecto donde se requiera un microcontrolador. Los dise˜ nos de hardware libre presentan muchas ventajas para la sociedad, siendo la mayor de ellas el aumento del conocimiento tecnol´ ogico: est´ an ah´ı no s´ olo para ser usados, sino para que cualquiera pueda comprender su funcionamiento interno.

1.

Introducci´ on

El software libre ofrece al usuario cuatro libertades: libertad de uso, de estudio y modificaci´ on, de distribuci´ on, y de redistribuci´ on de las mejoras. Existen licencias que las garantizan y que dan una cobertura legal, como por ejemplo la GPL. Estas ideas se pueden aplicar a otros campos, como el del dise˜ no hardware, dando lugar a lo que se conoce como hardware libre o hardware abierto[1]. No existe una definici´ on universalmente aceptada de hardware libre, ni tampoco est´ a disponible una licencia similar a la GPL. El criterio que hemos seguido es el propuesto en[1], que considera que un dise˜ no hardware es libre siempre y cuando se garantice que las mismas libertades del software libre se aplican a los “ficheros fuente” de los planos hardware: esquem´ atico, PCB (Printed Circuit Board ) y fichero gerber para fabricaci´ on industrial. Los microcontroladores PIC, de Microchip, son muy populares y cada vez se utilizan en m´ as desarrollos. Est´ an muy extendidos en la rob´ otica, us´ andose para gobernar tanto peque˜ nos robots m´ oviles[2,3] como prototipos de robots modulares reconfigurables [4]. Su reducido coste y los diferentes encapsulados en que se distribuyen, los hacen muy atractivos para la construcci´ on de tarjetas entrenadoras, de tipo industrial o prototipos, realizadas por empresas[5], universidades[6,7] o particulares[8,9,10].

La tarjeta Skypic[11] es una entrenadora de prop´ osito general, para trabajar con los microcontroladores PIC de 28 pines. Y es hardware libre en el sentido antes indicado: est´ an disponibles todos los “ficheros fuente” de los planos y se conceden permisos para utilizarla, fabricarla, modificarla y distribuirla. En este art´ıculo se describen las caracter´ısticas t´ecnicas de esta tarjeta, sus aplicaciones principales y se discuten las repercusiones m´ as importantes que tienen los dise˜ nos libres, tanto para las empresas como para la sociedad.

2.

Caracter´ısticas t´ ecnicas Conexion de Servos

Reset

Puerto A

Pulsador Puerto C pruebas

Puerto de Prog

Puerto B

ICD2

RS232

Alimentacion

Figura 1. Descripci´ on de los componentes de la Skypic

La tarjeta Skypic permite ejecutar programas en cualquier microcontrolador PIC de 28 pines, aunque se dise˜ no ´ para el modelo PIC16F876. Contiene s´ olo la electr´ onica indispensable para que el microcontrolador pueda funcionar, por lo que tiene unas reducidas dimensiones: 80x65mm. A trav´es de cuatro conectores acodados para cable plano, se tiene acceso a todos los pines del Pic. Las caracter´ısticas principales se muestran en el cuadro 1 y la disposici´ on de los componentes en la figura 1. Los PIC son microcontroladores de tipo RISC, de 8 bits, que incorporan perif´ericos muy diversos: temporizadores, unidades de comunicaciones serie s´ıncronas y as´ıncronas, bus CAN, USB, conversores A/D, comparadores, etc, por lo que se adaptan a una gran variedad de aplicaciones. En el cuadro 2 se han resumido las caracter´ısticas del modelo Pic16F876. La programaci´ on del pic se realiza in circuit, por lo que no es necesario extraerlo del z´ ocalo. Se puede emplear bien el grabador ICD2 de Microchip, o bien otra tarjeta Skypic con un software de grabaci´ on (ver apartado 4).

Tarjeta Skypic Conector para grabar desde el ICD2 de Microchip Conector telef´ onico para la conexi´ on RS232 con el PC Led y pulsador para realizar pruebas Puerto para programaci´ on directa desde la tarjeta CT6811 u otra Skypic Puertos A,B y C accesibles mediante conector acodado de 10 v´ıas Conexi´ on directa de 8 servos compatibles Futaba Cuadro 1. Caracter´ısticas de la tarjeta Skypic

PIC16F876 Microprocesador Risc de 8 bits Frecuencia de Reloj: 4Mhz Temporizador de 8 bits y uno de 16 bits Dos unidades de captura, comparaci´ on y PWM Buses s´ıncronos I2C y SSP Unidad de comunicaciones serie as´ıncrona 8 canales A/D de 10 bits Programaci´ on “in circuit” (ICSP) Memoria Flash de 8Kb y SRAM de 368 bytes Memoria eeprom de 256 bytes Cuadro 2. Caracter´ısticas del microcontrolador PIC16F876

3.

Planos

Siguiendo los criterios establecidos en [1], consideraremos que un hardware es libre si est´ an disponibles los “ficheros fuentes” de los planos. Nos referimos a los ficheros originales que utiliza el dise˜ nador para la especificaci´ on del hardware y que cualquier otra persona los pudiese abrir con la misma u otra herramienta de dise˜ no. Una placa de la que s´ olo se dispongan sus planos en alg´ un formato no editable, como por ejemplo PDF, no se considerar´ıa libre. Uno de los problemas que aparecen es la falta de un est´ andar abierto para la especificaci´ on de estos planos. Existen herramientas profesionales muy potentes (propietarias) cada una con su propio formato. Puede surgir la duda de si un dise˜ no hardware realizado con un programa propietario se puede considerar libre. En nuestro caso, bas´ andonos en criterios pr´ acticos, consideramos que ser´ a libre si se ofrecen las cuatro libertades del software libre, independientemente de la herramienta empleada (esto se discuti´ o en [1]). La Skypic se ha dise˜ nado con el programa Eagle[12], que no es libre, pero es multiplataforma (existen versiones para Linux, Max y Windows) y hay disponibles versiones de evaluaci´ on, de duraci´ on ilimitada que se pueden descargar de la web (de hecho, el eagle est´ a en el repositorio non-free de Debian). Todos los planos se pueden descargar de [11]. En la figura 2 se muestra el esquema completo.

VCC GND

+5v

Power U2

CT5

MAX232

2

Gra

6 14 7 13 8

IN1(T) OUT1(R) IN2(T) OUT2(R) OUT3(T) IN3(R) OUT4(T) IN4(R)

1

2

Ent

C3

Prog JP3

1uF

GND

2 1 Hembra JACK

PIC

+12V

R1

10K

GND

VCC

1 2 3

B1

L1 LED A K

1 2

JP6 DTR

PC

3 C4

V-

100n

V+

C2-

RXPC GND DTR TXPC

R11 330 A

JP2

1 2

PICXXX

1 2 3 4 5 6 7 8 9 10 11 12 13 14

10k

Pulsador Reset

c

GND b

c

e

b

SC107

SC107

R2 10K

GND CT1

Circuito de reloj

e

TRT1

TRT2

VCC

1 2 3 4 5 6 7 8 9 10

X1 1

2

4Mhz GND

C1 22pF

A1 A3 A5

GND

C2

28 27 26 25 24 23 22 21 20 19 18 17 16 15

RB7/PGD RB6/PGC RB5 RB4 RB3/PGM RB2 RB1 RB0/INT VCC GND RC7/RX/DT RC6/TX/CK RC5/SD0 RC4/SDI/SDA

R13 4K7 B0

PA4

1 2 3

ICD JP5

PA7

10 9 8 7 6 5 4 3 2 1

1 2 3

R4

4K7

1 2 3 4 5 6

1

2

GND

JP7 SV5 1 2 3

GND

6V 9

C6 C4 C2 C0

Puerto C

GND GND

2/16/2005 13:24:22 f=0.67 /home/juan/tmp/skypic.sch (Sheet: 1/1)

T1

ICD2

VCC CT6 Servos

17

C1 C3 C5 C7

S8 S7 S6 S5 S4 S3 S2 S1

4

3

2

1

7

8

9

10

JP4

GND

8 7 6 5 4 3 2 1

2

6

ICD

Puerto B

B7 B6 B5 B4 B3 B2 B1 B0

4

1

5

CT4

SKY/ICD SKY

3

Prog

CT2

1 2 3 4 5 6 7 8 9 10

1 2

GND

GND BUS:A[0..5],B[0..7],C[0..7]

SKY

CT3

Pulsador

Pulsador de entrada

VCC

PA3

B6 B4 B2 B0

S1 3 4

JP1

Puerto A

B1 B3 B5 B7

-

VCC

PIC

22pF

GND

#MCLR/VPP RA0/AN0 RA1/AN1 RA2/AN2/VREFRA3/AN3/VREF+ RA4/TOCKI RA5/AN4/#SS GND OSC1/CLKIN OSC2/CLKOUT RC0/T1OSO/T1CKI RC1/T1OSI/CCP2 RC2/CCP1 RC3/SCK/SCL

A4 A2 A0

1 2 3 4 5 6 7 8 9 10

k

GND +

GND

5 3 1

6 4 2

Top view

3 4

Reset

LED de salida

1 2

S2

R3

Figura 2. Esquema de la tarjeta Skypic

6 5 4 3 2 1

GND

C2+

C8

C7

5 11 10 12 9

T2

C1-

15

1 2 3

4

VCC

VCC

16

VCC

3

C1+

3

C6

C5

1

VCC

4.

Software

Para la programaci´ on de aplicaciones en la Skypic se puede utilizar cualquiera de las herramientas de desarrollo para Pics. Microchip ofrece las aplicaciones “oficiales”, que son propietarias y s´ olo est´ an disponibles para plataformas Windows. La comunidad ha creado sus propias herramientas libres, compatibles con las de Microchip. Entre ellas destacan las utilidades de GNU: gputils[16], que incluye un ensamblador y un enlazador entre otras. Para la simulaci´ on se puede emplear gpsim[17] y para la programaci´ on en C el compilador sdcc[18]. Tambi´en existe un entorno de programaci´ on PikDev [19], en el que est´ a todo integrado (editor, ensamblador, enlazador, programador, etc). La Skypic se ha dise˜ nado para que la grabaci´ on in-circuit de los programa ejecutables en la memoria flash del PIC se pueda realizar de diferentes maneras: Entorno Mplab y programador ICD2, de Microchip Programa Icprog, para Windows, y cable de conexi´ on paralelo para la Skypic [20]. Entorno PikDev, para Linux, y cable de conexi´ on paralelo para la Skypic En [21] planteamos un m´etodo de grabaci´ on alternativo, a trav´es del puerto serie est´ andar del PC, que permite utilizar la propia Skypic como si fuese un grabador, similar al ICD2 de Microchip (aunque sin la opci´ on de depuraci´ on). Para ello son necesarias dos tarjetas Skypic. Una que funcionar´ a como grabadora, con el servidor picp[22] en la flash, y la otra es la que se graba. La herramienta skypic-down[23], disponible para Linux bajo licencia GPL, permite escribir los programas en la flash. Este sistema de grabaci´ on tiene la ventaja de ser totalmente independiente de las caracter´ısticas del PC empleado. La temporizaci´ on se realiza en el pic del grabador. El PC s´ olo tiene que enviar la informaci´ on a trav´es del puerto serie, a una velocidad est´ andar de 9600 baudios.

5.

Aplicaciones

La Skypic es una tarjeta de prop´ osito general, muy u ´til en la construcci´ on de prototipos. Una de las aplicaciones para la que fue creada es la construcci´ on de microbots, peque˜ nos robots que la incorporan como “cerebro”, como por ejemplo el robot seguidor de l´ınea Skytritt (figura 3), una variante del robot abierto Tritt[13]. Los servomecanismos del tipo Futaba 3003 o compatibles se conectan directamente, lo que es muy u ´til para el dise˜ no y prueba de robots articulados. El programa star-servo8[14], para Linux, permite controlar hasta 8 servos desde el PC, pudiendo generar secuencias de movimiento para los robots articulados. Otro a ´mbito de aplicaci´ on es el docente. Se puede utilizar en laboratorios de arquitectura de computadores, sistemas digitales o rob´ otica. Los alumnos no s´ olo se limitar´ıan a usar la placa (libertad 0), sino que tambi´en la pueden

Figura 3. El microbot Skytritt, que utiliza la tarjeta Skypic como “cerebro”

estudiar (libertad 1) o modificar (libertad 2) para adaptarla a sus propios dise˜ nos. Tambi´en se puede tomar de ejemplo para aprender a dise˜ nar placas industriales, obteniendo la informaci´ on sobre su tecnolog´ıa de fabricaci´ on: anchura de las pistas, di´ ametro de los pads, planos de masa, serigraf´ıas, etc. Sin embargo, la mayor utilidad est´ a en el dise˜ no de prototipos. Al ser hardware libre, no es necesario “reinventar la rueda”, dise˜ nando el sistema desde cero. Es mejor opci´ on partir de algo que ya funciona y adaptarlo a tus necesidades, ahorrando tiempo y reduciendo costes. Esto es lo que se ha hecho en el proyecto Chronojump[15], en el que se est´ a dise˜ nando un sistema software y hardware para la medici´ on de los tiempos de vuelo de diferentes saltos realizados por deportistas, para conocer su estado de forma, la eficacia de un entrenamiento, la evoluci´ on del deportista, etc. Para la creaci´ on del prototipo hardware se est´ a empleando una Skypic, que m´ as adelante se modificar´ a para adaptarla a las necesidades concretas del proyecto. Si no fuese hardware libre las dos u ´nicas opciones que se tendr´ıan ser´ıan: 1) dise˜ nar el hardware desde cero, 2) Adaptar el proyecto al hardware existente en el mercado.

6.

¿Por qu´ e hardware libre?

Un dise˜ no libre lo puede fabricar, distribuir y vender cualquier empresa o persona. Esto cambia las reglas del juego. Analicemos algunas de las ventajas: Adaptar, no reinventar. En el mundo hardware, siempre se dise˜ na desde cero, reinvent´ andose constantemente la rueda. El conocimiento s´ olo est´ a disponible en el seno de las empresas fabricantes y s´ olo est´ a accesible para sus

ingenieros, que adem´ as tienen que firmar contratos de confidencialidad. El hardware libre permite que cualquiera pueda abordar proyectos complejos, adaptando el hardware existente. Hay ahorro de tiempo y dinero. Mayor perdurabilidad en el tiempo. Los dise˜ nos libres son independientes del fabricante. Si la empresa suministradora decide abandonar la fabricaci´ on, se puede buscar otra, contratar a alguien para que lo haga o bien hacerlo nosotros mismos. Deja de tener sentido la frase “ya no se fabrica ese hardware”. Monopolios no, competencia s´ı. El hardware libre garantiza la no existencia de monopolios. Cualquier empresa o particular puede fabricarlo (siempre que tenga los conocimientos y la experiencia). Aumento del conocimiento tecnol´ ogico. Se introduce una nueva dimensi´ on en el conocimiento. Los aparatos electr´ onicos no s´ olo estar´ an para ser usados, sino tambi´en para ser comprendidos y modificados. El efecto “lo uso pero no lo entiendo” desaparece. Los beneficios para la sociedad son claros, sin embargo surge la pregunta: “¿Por qu´e una empresa va a “regalar” su conocimiento “gratis” para que los competidores se aprovechen de ´el?”. Los autores no tenemos la respuesta y s´ olo el tiempo podr´ a decir si el modelo basado en el conocimiento libre triunfar´ a. El hardware libre est´ a en pa˜ nales y hoy en d´ıa, la respuesta de una empresa a la pregunta anterior ser´ıa un rotundo no. La misma respuesta que hubiesen dado las empresas de software hace diez a˜ nos. Actualmente, ya existen empresas que est´ an liberando c´ odigo. ¿Podemos esperar un comportamiento similar a largo plazo con el hardware libre? Al d´ıa de hoy, las ventajas que obtendr´ıa una empresa por liberar hardware podr´ıan ser: prestigio y reconocimiento de la comunidad, publicidad, aumento de la motivaci´ on de los dise˜ nadores, captaci´ on de desarrolladores que se involucren en nuevas versiones del hardware, aparici´ on de software libre alrededor de ese hardware, etc.

7.

El Futuro del hardware libre

Un campo especialmente activo es el del hardware reconfigurable[1], aquel que se puede describir utilizando un lenguaje de descripci´ on hardware, como VHDL o Verilog entre otros. Este hardware tiene la caracter´ısticas de ser similar al software. Los dise˜ nos quedan perfectamente definidos mediantes unos ficheros fuentes que se pueden compilar, simular, sintetizar y descargar en una FPGA. La licencia GPL se puede aplicar. Existen muchos dise˜ nos libres de este tipo y est´ an surgiendo comunidades muy importantes, como OpenCores[24]. Tambi´en existen proyectos de gran envergadura como es el procesador Sparc LEON[25], dise˜ nado por la agencia espacial europea (ESA) y distribuido bajo licencia GPL. El futuro del hardware est´ atico, el discutido en este art´ıculo, es m´ as incierto. Hasta ahora, no exist´ıan herramientas profesionales libres comparables a las

propietarias. Los pocos dise˜ nos libres que hab´ıa, estaban dise˜ nados cada uno con una aplicaci´ on diferente, lo que dificultaba su compartici´ on. Sin embargo, hace poco han liberado Kicad[26], muy similar al Eagle. Es una herramienta muy prometedora que puede conseguir que su formato se convierta en un est´ andar para los planos electr´ onicos. El impulso del hardware libre lo deben dar los miembros de la comunidad: los propios usuarios. No podemos esperar por el momento que las empresas liberen su hardware. Sin duda ser´ a un proceso lento, pero tenemos como referencia la evoluci´ on del software libre.

8.

Conclusiones y trabajo futuro

En este art´ıculo hemos presentado la tarjeta Skypic, una entrenadora para microcontroladores Pic, que tiene una licencia de hardware libre. Los “ficheros fuentes” de los planos est´ an disponibles y se conceden permisos para su uso, estudio, fabricaci´ on, modificaci´ on y redistribuci´ on de las mejoras. Las aplicaciones principales son la rob´ otica y la docencia. Tambi´en resulta muy u ´til en la realizaci´ on de proyectos que requieran el uso de un microprocesador. En vez de dise˜ nar el sistema desde cero, se puede usar la Skypic y adaptarla a las necesidades concretas. Un ejemplo es el proyecto Chronojump. El hardware libre ofrece una serie de ventajas a la sociedad, siendo quiz´ as la m´ as importante el aumento del “patrimonio tecnol´ ogico”, en el sentido de aportar no s´ olo un nuevo instrumento, sino el conocimiento de c´ omo est´ a realizado. Actualmente, los dise˜ nos libres (de tipo est´ atico) son escasos y no existe todav´ıa la suficiente masa cr´ıtica. No obstante, la idea tiene el potencial suficiente como para que se vaya extendiendo. Una sociedad tecnol´ ogica como la nuestra, no debe caracterizarse s´ olo por la existencia de gran cantidad de “aparatos” o “cajas negras” que todo el mundo usa pero que pocos conocen sus detalles internos. El hardware libre es otra pieza m´ as hacia la sociedad del conocimiento libre que muchos deseamos. Como trabajo futuro evaluaremos la herramienta libre de dise˜ no electr´ onico Kicad y la utilizaremos para rehacer los planos de la Skypic, consiguiendo as´ı una placa que adem´ as de ser libre, est´e dise˜ nada ´ıntegramente con software libre.

Agradecimientos Queremos agradecer a la empresa Ifara Tecnolog´ıas la financiaci´ on de la primera tirada de PCBs de la Skypic. Valoramos muy positivamente el que haya empresas que est´en dispuestas a dar una oportunidad a las nuevas ideas.

Referencias 1. I. Gonz´ alez, J. Gonz´ alez, F. G´ omez-Arribas (2003),“Hardware libre: clasificaci´ on y desarrollo de hardware reconfigurable en entornos GNU/Linux”. VI Congreso de Hispalinux. [En l´ınea]

2.

3.

4.

5.

6.

7.

8.

9.

10. 11.

12. 13. 14.

15. 16. 17. 18.

http://www.iearobotics.com/personal/juan/publicaciones/art4/index.html. (consulta 10-Feb-2005). Organizaci´ on Hispabot. “KIT de microrrobot velocista para talleres de introducci´ on a los microrrobots”. Seminario Hispabot 2003, Alcal´ a de Henares, Madrid, Mayo 2003. D. Amor, J.M. Castro, J. Donate, A. Gutierrez, I. Navarro. “PI y TC-EPI: Una nueva iniciativa de hardware abierto orientado a la formaci´ on de la rob´ otica m´ ovil”. Seminario Hispabot 2003, Alcal´ a de Henares, Madrid, Mayo 2003. M´ odulos G1v4 del robot Polybot, en el PARC (2002). [En l´ınea] http://www2.parc.com/spl/projects/modrobots/chain/polybot/g1v4.html (consulta 10-Feb-2005). Tarjeta Micropic Trainer, desarrollada por la empresa Microsystems Engineering. [En l´ınea] http://www.pacalaconcurso.com/modules.php?name=News&file=article&sid=6 (consulta 18-Feb-2005). I. Bravo, O. Dur´ an, M.A. Garc´ıa, J.L. L´ azaro, E. Mart´ın, M. Marr´ on, “Programaci´ on en placa de dispositivos PIC”. Seminario Hispabot 2003, Alcal´ a de Henares, Madrid, Mayo 2003. Tarjeta entrenadoras PICMIN y PICUPSAM, prototipos empleados para la docencia de la asignatura “Laboratorio de Arquitectura de computadores” en la UPSAM. [En l´ınea] http://www.iearobotics.com/personal/andres/proyectos/picmin/pic1.html. (consulta 18-Feb-2005). Placas Fast-pic y Power-pic, dise˜ nadas por V´ıctor Ap´estigue Palacio. [En l´ınea] http://www.terra.es/personal5/cuchomen1/Micros/micros.htm (consulta: 18-Feb2005). Alejandro Alonso Puig, “Dise˜ no de un servomotor controlado por bus I2C mediante microcontrolador PIC de gama media”. [En l´ınea] http://www.mundobot.com/tecnica/Svd01/Svd01.htm (consulta: 18-Feb-2005). ´ Tarjetas X-PIC y X-BOT. Alberto Calvo torrijos y Daniel Alvarez S´ anchez. [En l´ınea] http://wwww.x-pic-system.tk/ (consulta 18-Feb-2005). Tarjeta entrenadora Skypic. [En l´ınea] http://www.iearobotics.com/proyectos/skypic/skypic.html. (consulta: 10-Feb2005). Programa de dise˜ no electr´ onico Eagle, de la empresa CadSoft. [En l´ınea] http://www.cadsoft.de/ (consulta: 18-Feb-2005). Microbot Tritt. [En l´ınea] http://www.iearobotics.com/proyectos/tritt/tritt.html (consulta: 10-Feb-2005). Programa de manejo de servos desde el PC: star-servos8. [En l´ınea] http://www.iearobotics.com/proyectos/stargate/clientes/star-servos8/starservos8.html (consulta: 17/Feb/2005). Proyecto Chronojump. Albergado en Software-libre.org. [En l´ınea] http://chronojump.software-libre.org/ (consulta: 18-Feb-2005). GPUTILS. Utilidades GNU para los PIC. [En l´ınea] http://gputils.sourceforge.net/ (consulta: 18-Feb-2005). GPSIM. Simulador GNU para los PIC. [En l´ınea] http://www.dattalo.com/gnupic/gpsim.html (consulta: 18-Feb-2005). Programa SDCC (Small Device C Compiler). Compilador de C para diversor microcontroladores de 8 bits, entre ellos los PIC. [En linea] http://sdcc.sourceforge.net/ (consulta: 18-Feb-2005).

19. Entonrno de desarrollo PiKdev. [En l´ınea] http://pikdev.free.fr/ (consulta: 18-Feb2005). 20. Ricardo G´ omez Gonz´ alez. “Manual para grabar la SKYPIC desde el puerto paralelo con el ICPROG”. [En l´ınea]. http://www.iearobotics.com/proyectos/skypic/docs/conf icprog.html (consulta 17-Feb-2005). 21. Juan Gonz´ alez, Andr´es Prieto-Moreno, ”Herramientas hardware y software para el desarrollo de aplicaciones con Microcontroladores PIC bajo plataformas GNU/Linux”, III Jornadas de Software Libre, Universidad Pontificia de Salamanca en Madrid. Mayo 2004. [En l´ınea] http://www.iearobotics.com/personal/juan/publicaciones/art5/index.html (consulta: 18-Feb-2005) 22. Servidor de grabaci´ on para microcontroladores pic. [En l´ınea] http://www.iearobotics.com/proyectos/stargate/servidores/sg-picp/sg-picp.html (consulta: 17-Feb-2005). 23. Skypic-down. Cliente de grabaci´ on de microcontroladores pics, para Linux [En l´ınea]. http://www.iearobotics.com/personal/juan/proyectos/skypicdown/skypic.html (consulta: 17-Feb-2005). 24. OpenCores. Cores libres. [En l´ınea] http://www.opencores.org/ (consulta: 18-Feb2005). 25. Procesador Sparc LEON2. [En l´ınea] http://www.gaisler.com/products/leon2/leon.html (consulta: 18-Feb-2005). 26. Programa profesional y libre de dise˜ no electr´ onico: KICAD. [En l´ınea] http://www.lis.inpg.fr/realise au lis/kicad/ (consulta: 18-Feb-2005).

Related Documents


More Documents from ""