Lterature Review.docx

  • Uploaded by: salman
  • 0
  • 0
  • October 2019
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Lterature Review.docx as PDF for free.

More details

  • Words: 8,613
  • Pages: 26
Turnitin Originality Report Ch. 4 by Asim Javaid From Mr. Asim Javaid ( Physics ) (Ph.D. Thesis 2018)   

Processed on 02-Apr-2018 11:34 PKT ID: 939725139 Word Count: 7120

Similarity Index 86% Similarity by Source Internet Sources: 42% Publications: 79% Student Papers: 8%

sources: 1 7% match (publications) K. Cherkaoui, S. Monaghan, M. A. Negara, M. Modreanu et al. "Electrical, structural, and chemical properties of HfO2 films formed by electron beam evaporation", Journal of Applied Physics, 2008 2 4% match (Internet from 15-Apr-2011) http://mems.eng.usf.edu/pub/Papers/Subb-2.pdf 3 3% match (publications) Walczyk, Christian, Damian Walczyk, Thomas Schroeder, Thomas Bertaud, Małgorzata Sowinska, Mindaugas Lukosius, Mirko Fraschke, Dirk Wolansky, Bernd Tillack, Enrique Miranda, and Christian Wenger. "Impact of Temperature on the Resistive Switching Behavior of Embedded $\hbox{HfO}_{2}$-Based RRAM Devices", IEEE Transactions on Electron Devices, 2011. 4 3% match (publications) N.M. Kamble, R.B. Tokas, A. Biswas, S. Thakur, D. Bhattacharyya, N.K. Sahoo. "Determination of the optical constants of HfO2–SiO2 composite thin films through reverse fitting of transmission spectra", Vacuum, 2011 5

3% match (publications) Vikram Singh, Satinder K. Sharma, Dinesh Kumar, R.K. Nahar. "Study of rapid thermal annealing on ultra thin high-k HfO2 films properties for nano scaled MOSFET technology", Microelectronic Engineering, 2012 6 3% match (publications) B. Aguirre, R.S. Vemuri, D. Zubia, M.H. Engelhard, V. Shutthananadan, K. Kamala Bharathi, C.V. Ramana. "Growth, microstructure and electrical properties of sputterdeposited hafnium oxide (HfO2) thin films grown using a HfO2 ceramic target", Applied Surface Science, 2011 7 3% match (publications) De Stefano, Francesca, Michel Houssa, Valeri V. Afanas'ev, Jorge A. Kittl, Małgorzata Jurczak, and Andre Stesmans. "Nature of the filament formed in HfO2-based resistive random access memory", Thin Solid Films, 2013. 8 3% match (Internet from 27-Oct-2017) https://linknovate.com/publication/a-review-on-conduction-mechanisms-in-dielectricfilms-7429469/ 9 3% match (publications) R. Thielsch, A. Gatto, J. Heber, N. Kaiser. "A comparative study of the UV optical and structural properties of SiO2, Al2O3, and HfO2 single layers deposited by reactive evaporation, ion-assisted deposition and plasma ion-assisted deposition", Thin Solid Films, 2002 10 2% match (publications) K.L. Ng, Nian Zhan, C.W. Kok, M.C. Poon, Hei Wong. "Electrical characterization of the hafnium oxide prepared by direct sputtering of Hf in oxygen with rapid thermal annealing", Microelectronics Reliability, 2003 11 2% match (publications) Hang Hu, Chunxiang Zhu, Y. F. Lu, Y. H. Wu, T. Liew, M. F. Li, B. J. Cho, W. K. Choi, N. Yakovlev. "Physical and electrical characterization of HfO2 metal–insulator–metal capacitors for Si analog circuit applications", Journal of Applied Physics, 2003 12 2% match (publications) A. Salaün, H. Grampeix, J. Buckley, C. Mannequin, C. Vallée, P. Gonon, S. Jeannot, C. Gaumer, M. Gros-Jean, V. Jousseaume. "Investigation of HfO2 and ZrO2 for Resistive Random Access Memory applications", Thin Solid Films, 2012

13 2% match (Internet from 16-May-2016) http://userpages.umbc.edu/~gougousi/papers/HfO2_ALD_TSF_2009.pdf 14 2% match (Internet from 06-Sep-2017) https://ir.nctu.edu.tw/bitstream/11536/23293/1/000327291800027.pdf 15 2% match (publications) Ritala, M.. "Development of crystallinity and morphology in hafnium dioxide thin films grown by atomic layer epitaxy", Thin Solid Films, 19941001 16 2% match (Internet from 21-Nov-2015) http://jmstpapers.com/static/documents/September/2014/1.Kamal.pdf 17 2% match (publications) Taube, A.. "Electronic Properties of Thin HfO2 Films Fabricated by Atomic Layer Deposition on 4H-SiC", Acta Physica Polonica, A./05874246, 20110501 18 2% match (publications) M F Al-Kuhaili. "Characterization of hafnium oxide thin films prepared by electron beam evaporation", Journal of Physics D Applied Physics, 04/21/2004 19 2% match (publications) Fann-Wei Yang, Kai-Huang Chen, Chien-Min Cheng, Feng-Yi Su. "Bipolar resistive switching properties in transparent vanadium oxide resistive random access memory", Ceramics International, 2013 20 2% match (publications) T. Cabout, J. Buckley, C. Cagli, V. Jousseaume, J.-F. Nodin, B. de Salvo, M. Bocquet, Ch. Muller. "Role of Ti and Pt electrodes on resistance switching variability of HfO2based Resistive Random Access Memory", Thin Solid Films, 2013 21 2% match (Internet from 25-May-2010) http://www.chem.harvard.edu/groups/gordon/papers/Surface.pdf 22 2% match (Internet from 09-Mar-2017) http://publica.fraunhofer.de/documents/N-93853.html 23

2% match (Internet from 11-Nov-2009) http://www.postech.ac.kr/ce/lamp/pub/seungyupwangee.pdf 24 2% match (Internet from 22-Aug-2012) http://www.ipcsit.com/vol32/020-ICSIC2012-D0009.pdf 25 2% match (publications) Kim, Jonggi, Sunghoon Lee, Kyumin Lee, Heedo Na, In-Su Mok, Youngjae Kim, DaeHong Ko, and Hyunchul Sohn. "RESET-first bipolar resistive switching due to redox reaction in ALD HfO2 films", Microelectronic Engineering, 2013. 26 2% match (Internet from 30-Jun-2016) https://pure.york.ac.uk/portal/en/publications/grain-boundary-mediated-leakage-currentin-polycrystalline-hfo2-films%28af6a996b-8f17-4932-8ff2183aab0f46a4%29/export.html 27 1% match (Internet from 22-Aug-2012) http://www.ipcsit.com/vol32/032-ICSIC2012-D2006.pdf 28 1% match (Internet from 14-Sep-2014) http://202.204.50.104/handle/400002224/13513 29 1% match (publications) Xing, S.. "Preparation of hafnium oxide thin film by electron beam evaporation of hafnium incorporating a post thermal process", Microelectronic Engineering, 200304 30 1% match (Internet from 03-Nov-2010) http://cmsl.snu.ac.kr/data/publication/pthfo2_me.pdf 31 1% match (Internet from 25-Nov-2016) https://pdfs.semanticscholar.org/bf82/b0c7ab8748153855fd300f33fcce2362eba8.pdf 32 1% match (publications) M.F. Al-Kuhaili. "Optical properties of hafnium oxide thin films and their application in energy-efficient windows", Optical Materials, 2004 33 1% match (publications)

R. K. Nahar. "Study of electrical and microstructure properties of high dielectric hafnium oxide thin film for MOS devices", Journal of Materials Science Materials in Electronics, 04/11/2007 34 1% match (publications) Vallee, C.. "High @k for MIM and RRAM applications: Impact of the metallic electrode and oxygen vacancies", Microelectronic Engineering, 200907/09 35 1% match (publications) Hu, P.. "Oxygen deficiency effect on resistive switching characteristics of copper oxide thin films", Physics Letters A, 20110502 36 1% match (publications) Privitera, S., G. Bersuker, B. Butcher, A. Kalantarian, S. Lombardo, C. Bongiorno, R. Geer, D.C. Gilmer, and P.D. Kirsch. "Microscopy study of the conductive filament in HfO2 resistive switching memory devices", Microelectronic Engineering, 2013. 37 1% match (publications) Kuan-Liang Lin, Tuo-Hung Hou, Jiann Shieh, Jun-Hung Lin, Cheng-Tung Chou, YaoJen Lee. " Electrode dependence of filament formation in HfO resistive-switching memory ", Journal of Applied Physics, 2011 38 1% match (publications) Bradley, Samuel R., Keith P. McKenna, and Alexander L. Shluger. "The behaviour of oxygen at metal electrodes in HfO2 based resistive switching devices", Microelectronic Engineering, 2013. 39 1% match (publications) C. A. Mead. "Electron Transport Mechanisms in Thin Insulating Films", Physical Review, 12/1962 40 1% match (publications) Saura, X., X. Lian, D. Jimenez, E. Miranda, X. Borrise, J. M. Rafi, F. Campabadal, and J. Sune. "Exploring the field-effect control of breakdown paths in lateral W/HfO2/W structures", 2013 14th International Conference on Ultimate Integration on Silicon (ULIS), 2013. 41 1% match (publications)

Kamal, M.M., and A.H. Bhuiyan. "Thickness Dependent Direct Current Electrical Conduction in Plasma Polymerized Pyrrole Monolayer Thin Films", Advanced Materials Research, 2013. 42 1% match (publications) Perevalov, T.V., V.Sh. Aliev, V.A. Gritsenko, A.A. Saraev, and V.V. Kaichev. "Electronic structure of oxygen vacancies in hafnium oxide", Microelectronic Engineering, 2013. 43 1% match (student papers from 09-Mar-2018) Submitted to De Montfort University on 2018-03-09 44 1% match (Internet from 01-Jul-2016) http://collections.mun.ca/cdm/compoundobject/collection/theses4/id/11243/rec/17 45 < 1% match (Internet from 26-May-2016) https://linknovate.com/affiliation/ming-chuan-university-38131/all/ 46 < 1% match (publications) LeVan, Paul D., Ashok K. Sood, Priyalal Wijewarnasuriya, Arvind I. D'Souza, Matthew Edwards, Stephen Egarievwe, Tatiana Kukhtareva, Jemilia Polius, Afef Janen, and John Corda. "Surface resistivity temperature dependence measures of commercial, multiwall carbon nanotubes (MWCNT), or silver nano-particle doped polyvinylidene difluoride (PVDF) and polyvinyl alcohol (PVA) films", Infrared Sensors Devices and Applications IV, 2014. 47 < 1% match (publications) Aguirre, B.. "Growth, microstructure and electrical properties of sputter-deposited hafnium oxide (HfO"2) thin films grown using a HfO"2 ceramic target", Applied Surface Science, 20110101 48 < 1% match (Internet from 29-Jul-2016) https://www.semanticscholar.org/author/Chung-Hsin-Wu/2698643 49 < 1% match (publications) Ng, K.L.. "Electrical characterization of the hafnium oxide prepared by direct sputtering of Hf in oxygen with rapid thermal annealing", Microelectronics Reliability, 200308 50 < 1% match (Internet from 11-Sep-2017)

http://hub.sfedu.ru/media/diss/dde78722-debb-4912-bd1ae363d128c443/%D0%94%D0%B8%D1%81%D1%81%D0%B5%D1%80%D1%82%D0 %B0%D1%86%D0%B8%D1%8F%20%D0%90%D0%B2%D0%B8%D0%BB%D0%BE %D0%B2.pdf 51 < 1% match (student papers from 06-May-2016) Submitted to University of Newcastle upon Tyne on 2016-05-06 52 < 1% match (publications) Diana Riihelä. "Low temperature deposition of AIN films by an alternate supply of trimethyl aluminum and ammonia", Chemical Vapor Deposition, 11/1996 53 < 1% match (Internet from 23-Dec-2017) http://sylvester.bth.rwth-aachen.de/dissertationen/2004/236/04_236.pdf 54 < 1% match (publications) Meena, J.S.. "Flexible metal-insulator-metal capacitor using plasma enhanced binary hafnium-zirconium-oxide as gate dielectric layer", Microelectronics Reliability, 201005 55 < 1% match (publications) Yakunin, Alexander N., Nikolay P. Aban'shin, Garif G. Akchurin, Georgy G. Akchurin, and Yuri A. Avetisyan. "On the problems of stability and durability of field-emission current sources for electrovacuum devices", Ultrafast Phenomena and Nanophotonics XX, 2016. 56 < 1% match (Internet from 19-Mar-2016) http://mrs.org/s03-abstract-e/

57 < 1% match (Internet from 25-Sep-2011) http://www.ihp-ffo.de/345.0.html

58 < 1% match (publications) Chiu, Fu-Chien, Somnath Mondal, and Tung-Ming Pan. "Structural and Electrical Characteristics of Alternative High-k Dielectrics for CMOS Applications", High-k Gate Dielectrics for CMOS Technology HE HIGH-K DIELECTRICS O-BK, 2012. 59 < 1% match (publications) Jeong, S.W.. "Effects of annealing temperature on the characteristics of ALD-deposited HfO"2 in MIM capacitors", Thin Solid Films, 20061025

60 < 1% match (publications) Areum Kim, Keunkyu Song, Youngwoo Kim, Jooho Moon. "All Solution-Processed, Fully Transparent Resistive Memory Devices", ACS Applied Materials & Interfaces, 2011 61 < 1% match (publications) E. Atanassova, D. Spassov, A. Paskaleva. "Influence of the metal electrode on the characteristics of thermal Ta2O5 capacitors", Microelectronic Engineering, 2006 62 < 1% match (publications) Hackley, J.C.. "Properties of atomic layer deposited HfO"2 thin films", Thin Solid Films, 20091030 63 < 1% match (publications) Hausmann, D.M.. "Surface morphology and crystallinity control in the atomic layer deposition (ALD) of hafnium and zirconium oxide thin films", Journal of Crystal Growth, 200302 64 < 1% match (publications) Yazdanparast, Sanaz, Jakub A Koza, and Jay A. Switzer. "Copper Nanofilament Formation during Unipolar Resistance Switching of Electrodeposited Cuprous Oxide", Chemistry of Materials

paper text: Joo-Hyung Kim etal reported22the effect of annealing on electrical and physical characteristics of HfO2, HfSixOy and HfOyNz gate oxide films on Si. Having the largest thickness change of 0.3 nm after post deposition annealing (PDA), HfOyNz shows the lowest leakage current. It was found for both as-grown and annealed structures that Poole–Frenkel conduction is dominant at low field while Fowler–Nordheim tunneling in high field. Spectroscopic ellipsometry

measurement revealed that the PDA process decreases the bandgap of the dielectric layers. We found that a decreasing of peak intensity in the middle HfOyNz layer as measured by Tof-SIMS may suggest the movement of N toward the interface region between the HfOyNz layer and the Si substrate during the annealing process.[1]49K.L. Ng a, Nian Zhan a, C.W. Kok a, M.C. Poon a, Hei Wong10have performed systematic experiments on the electrical characteristics of hafnium oxide (HfO2) gate dielectric films prepared by Hf sputtering in oxygen with rapid thermal annealing. By measuring the current–

voltage characteristics at temperature ranging from 300 to 500 K, several abnormal characteristics are recorded. For temperatures below 400 K, the current– voltage characteristics in the high electric field region can be plotted with the Fowler–Nordheim law but a much stronger temperature dependence was observed. Large flatband voltage shifts in the Al/HfO2/Si capacitors were observed. The capacitance–voltage characteristics and the flatband shifts are found to depend on the post-deposition annealing temperature and duration. To study the reliability against high electric field, constant voltage stressing on the samples was conducted. We found that the trap energy levels are shallow and the oxide traps can be readily filled and detrapped at a low voltage. These studies indicate that the poor thermal stability, and high amount of oxide trap density will be the major constraints for the application of HfO2 film in MOS devices. Better dielectric ingredients and fabrication processes are indispensable for better dielectric properties. [2] Kyong-Rae Kim, In-Sung Park, Resistive switching characteristics of insulating HfO2 grown by the atomic layer deposition (ALD) technique were investigated. From I-V analyses of a Mo/HfO2/Mo device, the resistive switching behaviors were not symmetric for voltage polarity. These behaviors were well explained on the basis of the different top and bottom HfO2 interface states observed by60X-ray photoelectron spectroscopy. For the as- deposited HfO2 film,a64low resistance state showed an ohmic conduction behavior buta high resistance state showed a Poole-Frenkel mechanism. Moreover, the operation voltages to switch the resistance states were slightly increased by a 400 ◦C annealing process. The resistive switching behaviors are related to not only the defects in the insulator but also the electrode.[3]33R. K. Nahar , Vikram Singh , Aparna Sharma,33Thin film deposition of HfO2 by rf sputtering is investigated and the effect of deposition voltage and thermal annealing is studied. It is found that the film deposited at33that film surface roughness reduces with surface bias during film deposition. The electrical characteristics of MOS capacitors fabricated with HfO2 show that films deposited with bias exhibit reduced leakage current and higher breakdown voltage compared to the film deposited without substrate bias. The results can be used to tailor the film microstructure and dielectric properties as desired for MIM/MOS device applications.[4]23Seunghyup Lee, Wan-Gee Kim, Shi-Woo Rhee,* and Kijung Yong,23We investigated the resistance switching behaviors of HfO2 film with Au and Pt electrode for possible applications in nonvolatile memory devices. The films showed good resistance switching characteristics with high-

resistance ratios about 104–109 under 2 V. We believe the conduction mechanisms in our MIM device are dominantly ohmic conduction with conduction paths. Especially, in the high voltage region at high resistance state HRS film, the characteristics were quite unstable, showing some fluctuations. Moreover, the forming process showed Schottky emission, which was different conduction mechanism from the SET process. The bipolar resistance switching characteristics were observed as well as unipolar resistance switching behavior.[5] Vikram Singh,5Satinder K. Sharma, Dinesh Kumar , R.K. Nahar, the effect of rapid thermal annealing on structural and electrical properties of high-k HfO2 ultra thin films deposited by rf-sputtering system is investigated. The films properties were investigated for optimum rapid thermal annealing temperature in oxygen and nitrogen ambient, respectively to get the best electrical results as a MOS device structure. Detailed studies of temperature induced annealing effects on the HfO2/Si interface are done using Fourier Transform Infrared Spectroscopy (FT-IR). The film thickness, composition and microstructure is studied by Ellipsometry, XRD and AFM, respectively, and the effect of annealing on these parameters is shown. The I–V and C–V characteristics of the annealed dielectric film were investigated employing Si/HfO2/Si MOS capacitor structure. The results showed that the HfO2/Si stack with rapid thermal annealing (RTA) in nitrogen ambient showed improved physical and electrical performance than with in oxygen. It is shown that RTA improves the interface properties of HfO2/Si and the densification of HfO2 ultra thin films. The as deposited films were amorphous and orthorhombic after annealed at 700 C in nitrogen and oxygen, respectively. We found that the nitrogen annealed samples exhibit a reduced equivalent oxide thickness, interfacial density of states, capacitance– voltage hysteresis and leakage current; additionally it also showed negligible charge trapping under positive voltage bias and temperature stress. The results are presented and discussed.[ 6]8The conduction mechanisms in dielectric films are crucial to the successful applications of dielectric materials. There are two types of conduction mechanisms in dielectric films, i.e. electrode-limited conduction mechanism and bulk-limited conduction mechanism. The electrode-limited conduction mechanism depends on the electrical properties at the electrode-dielectric interface. Based on this type of conduction mechanism, the physical properties of the barrier height at the electrode-dielectric interface and the

effective mass of the conduction carriers in dielectric films can be extracted. The bulk-limited conduction mechanism depends on the electrical properties of the dielectric itself. According to the analyses of bulk-limited conduction mechanisms, severalimportant physical parameters in the dielectric films can be obtained, including the trap level, the trap spacing, the trap density, the carrier drift mobility, the dielectric relaxation time, the density of states in the conduction band, etc. In this paper, the analytical methods of conduction mechanisms in dielectric films are discussed in detail.[7] All of the work reported by C A Mead39has been done with tantalum oxide thin films. Many other films exhibit very similar characteristics.39It should be emphasized that with the experimental evidence at hand, it is impossible to establish complete model of the current flow processes in these films. However, it is believed that the mechanisms proposed are the rate limiting processes involved and should be carefully considered in the construction of any complete analysis.[8]16M. M. Kamal*1 and A. H. Bhuiyanhave observed that41from J-V characteristics of PPPy thin films, a general trend is observed that the current conduction is higher in the films of lower thickness than that of the higher-thickness films at the same voltage. This difference in the conductivity for different thicknesses suggests a probable change in physical properties during the formation of the plasma polymerized thin films. The16most probable reason of this behavior may be due to better morphological characteristics of the films of lower thickness which causes increased charge mobility. Thinner films present more structural order due to more homogeneous surfaces, decreased grain size and improve interchain conduction, and as a result, an increased conductivity could be observed. The J-V characteristics of PPPy thin films were characterized by two different slopes in the lower and higher voltage regions. The slopes at lower voltage region indicate a probable Ohmic conduction, while at higher voltages a non-ohmic conduction is observed. This complex conduction behavior has been explained in terms Schottky– Richardson mechanism, Poole– Frenkel mechanism, tunneling or Fowler– Nordheim mechanism and space charge limited conduction mechanism, and it is found that the current conduction in PPPy thin films follow an SCLC mechanism.[9]48Jagan Singh Meena, Min-Ching Chu, Jitendra N. Tiwari, HsinChiang You, Chung-Hsin Wu, Fu-Hsiang Ko, have54investigated the intrinsic dielectric and surface properties of sol–gel depositedHfxZr1-xO231film on the flexible PI substrate. MIM-structured device prepared usingHfxZr1-xO231film as

a dielectric layer exhibited low leakage current density of 3.22 108A/ cm2 at 10 V and maximum capacitance density of 10.36 fF/ μm2 at 10 kHz and 9.42 fF/ μm2 at 1 MHz. The surface properties and electrical performance of this film verified the effectiveness of applying low temperature plasma processing to the fabrication of future soft devices. It is expected that amorphous combinatorialHfxZr1-xO231would be a leading candidate for use in future flexible metal–oxide–semiconductor imaging devices as a stable gate dielectric fabricated through processing at low temperature. [10]2Subramanian Krishnan a,b, Elias Stefanakos a, Shekhar Bhansali,2Thin film MIM diodes with Ni–NiO–Cr/Au have been designed and fabricated. A prototype diode (100 μm2) was designed and fabricated to study the feasibility of fabrication that gives a reproducible I–V response. The insulator deposition was characterized to yield a very thin film of NiO in the order of few tenths of a nm. Following that, MIM diodes with 1.45 μm2contact area have been developed. By measuring the electrical characteristics of the diode, a larger degree of asymmetry and non-linearity has been observed. Even though any MIM diode with dissimilar metals will exhibit some non-linearity and asymmetry, the high work-function difference between Ni and Cr will produce a more pronounced level of non-linearity and asymmetry. Also, the rectification ratio of the diodes supports the asymmetric nature of the device. Further, the theoretical I–Vand J–V calculations, based on the Simmons equation, agree well with the measured results showing significant non-linearity and asymmetry and confirmingthatthegenerated currentisduetothe2thin barrier layer and takes place through tunneling of the electrons. In spite of the fact that the diode exhibited improved performance, there are still several aspects of diode fabrication that require additional optimization. Even though the detector's lateral size contributed to variation in current density, the thickness of the dielectric, varying across the chip by a few nm, caused a large variation in the detector's electrical behavior. This fluctuation in oxide thickness was estimated theoretically and some of the obvious and less obvious factors were determined. Thus by fabricating the diode detector with a high enough workfunction difference and analyzing its electrical performance it can be suggested that, this particular combination of MIM diode will be eventually used as a rectenna element for IR detection.[11] S.-W. Jeong and Y. Roh, showed that HfSixOy-HfO2 thin films with good quality could be obtained by means of the ALD deposition method followed by a RTP annealing process. We observed57the

formation of a reaction barrier layer between the HfSixOy -HfO2 interface,and suggested that this layer may be caused by the incorporation of Cl introduced during the ALD process. The electrical properties, including the dielectric constant and leakage current characteristics, improve as the annealing temperature increases. Especially, the leakage current decreases by at least two orders of magnitude after RTP annealing at 700 ◦C as compared to that of the as-deposited films. However, the RTP annealing did not improve the dissipation factor, but rather degraded it slightly. Based on the data obtained from TEM and XPS, we speculate that this phenomenon could be58caused by the diffusion of Cl during the thermal annealing process. Inconclusion, the annealing conditions must be carefully controlled59to obtain high-quality highk films for MIMcapacitor applications.[12]56Hang Hu, Chunxiang Zhu, Y. F. Lu, Yetal HfO211thin films have been prepared by PLD at various deposition conditions. The influence of the substrate temperature and pressure on the properties of the film, including crystallinity, surface morphology, relative stoichiometry, and optical properties, were investigated. It could be concluded that substrate temperature has little effect on the stoichiometry, whereas deposition pressure plays an important role in determining the ratio of Hf and O. The result also shows that the optical properties of HfO2 thin films have a strongdependence on both the deposition temperature and pressure. Thus, the appropriate choice of substrate temperature and deposition pressure in PLD processing of HfO2 thin films is of great importance. For MIM capacitor application, the electrical properties of HfO2 MIM capacitors were investigated as a function of deposition temperature. It was found that the HfO2 MIM capacitor fabricated at 200 °C has an overall high performance such as a high capacitance density of ;3.0 fF/ mm2, a low leakage current of 231029 A/cm2 at 3 V, low-voltage coefficients of capacitance, and goodfrequencydispersion property. All of these indicate that the HfO2 MIM capacitors are very suitable for use in Si analog circuit applications.[13] Taube, S. Gierałtowska,17Hafnium oxide films were deposited on 4H-SiC and silicon substrates by ALD at 135 –C. High dielectric constant of 15 was confirmed by C–V measurements. High leakage current (approximately 1 mA/ cm2 at 1.5 V) is17probably caused by low conduction band offset between hafnium oxide and silicon carbide. It has been demonstrated that HfO2 /SiC interface suffer from high density of surface traps, which could have strong influence on electron transport in future MOSFET transistor. The reported value of 5£ 1012eV¡1 cm¡2 is about one magnitude higher than in case of deposited and annealed SiO2/ 4H-SiC

MOS capacitors [8]. One way to prevent aforesaidproblems is to introduce the pedestal layer with better potential offset between high-• dielectric and silicon carbide, i.e. SiO2. Ultrathin SiO2 has potential barrier 1.1 eV higher thanHfO2.[14]6B. Aguirre a, R.S. Vemuri,et al,6HfO2 thin films were grown by sputtering onto Si(1 0 0) varying the Ts from RT to 500 ◦C. The effect of Ts on the growth, crystal structure, surface morphology and surface/interface structure and composition of the deposited HfO2 films was studied using GIXRD, HR-SEM, and EDS measurements. The results indicate that the effect of Ts is remarkable on the growth, surface and interface structure, morphology and chemical composition of the HfO2 films. HfO2 films grown at Ts <200 ◦C were amorphous while films grown at Ts ≥ 200 ◦C were polycrystalline with monoclinic crystal structure. HfO2 films Ts ≥ 200 ◦C exhibit a preferred(-1 1 1)47orientation. The onset of crystalline occurs at Ts ≥ 200 ◦C. XPS measurements indicated the high surface-chemical quality of the HfO2 filmsgrown at Ts = 30–5006◦C. An interface layer (IL) formation occurs due to reaction at the HfO2–Si interface for HfO2 films deposited at Ts ≥ 200 ◦C. The thickness of IL increases to 27 nm with an increase in Ts to 400 ◦C. The exponential temperature-dependence of the ILs growth was evident from the IL–Tsanalysis. Hf, Si, and O contributions in EDS measurements indicate the IL at the HfO2–Si interface is a Hf –silicate (HfSiO4). The C–V characteristics indicate that the dielectric constant decreases from 25 to 16 with increasingTs which can be attributed to the formation of grain structure and ILs. HfO2 thin films grown at higher Ts (≥300 ◦C) exhibit grain boundaries in addition to ILs and are undesirable for gate dielectric applications.[15] A. Srivastava, Y. Malhotra, V. Gupta, results showed27a successful deposition of Lathanum incorporated HfO2 dielectric stacks using PLD shots under optimized conditions for fabrication of MIM capacitors. Initial morphological and electrical results ofLa2O3\HfO227dielectric stacks deposited using PLD shots are shown in this article. The AFM image shows the formation of nanostructures of HfO2 and Lathanum dielectric stacks. The RMS roughness and average roughness of the dielectric stacks was of the order of few nanometers. We will show the improvements in the electrical properties with incorporation of lanthanum in hafnium based dielectric stacks and study its relationship with the morphological changes in La2O3\HfO227dielectric stacks as a MIM capacitor using the PLD deposition technique at the conference presentation site.[16]63Dennis M. Hausmann, Roy G. Gordon,The21results

reported here show that the alkyl amides of hafnium and zirconium provide convenient and effective ALD precursors to smooth, amorphous hafnium and zirconium oxide thin films. For high temperature depositions the nanolaminate strategy can be used to deposit smooth films. The model presented here is consistent with the observations and provides a clear mechanism for the nucleation and growth of crystallites during the ALD process. The few percent aluminum-oxide required to produce smooth films should not alter the bulk material properties significantly. For semiconductor applications where only a few nanometers of film are required, the alkyl-amide precursors can be used at low enough temperatures (2001C or less) where the roughening effects of crystallization are reduced and/or eliminated.[17] K. Cherkaoui,1,a S. Monaghan et al,1In this work we present a detailed study of the structural, chemical, and electrical properties of high dielectric constant HfO2 films formed on a HF last terminated silicon 100 surface using an e-beam evaporation technique from monoclinic HfO2 pellets at 150 °C. In particular, we report the influence of argon ion assist and oxygen flow on the properties of the resulting HfO2/SiOx/Si structures, and we explore the thickness variations in the interfacial SiO x layer with and without argon ion assist and oxygen flow. As observed in other work based on reactive sputtering, the samples involving an argon ion assist 70 eV, with additional oxygen, result in 30 Å of interfacialSiO x. We demonstrate that by using a two-stage process based on an initial growth 10 Å with no ion assist, and a subsequent argon ion assisted growth 15 Å, the interfacial SiOx layer reduces to 20 Å. For e- beam evaporation with oxygen flow and without argon ion assist, we obtain an interfacial SiOxlayerof 6 Å, as determined by HRTEM analysis. Using a systematic QM CV fitting approach, we determine the median dielectric constants to be 6.3, 4.2, and 4.3 for the 6, 20, and 30 Å IL thicknesses, respectively. Although the possible k value ranges can be large, the error margins for the EOT extractions are low, from 0.1 Å for sample A to 0.5 Å for sample C. Based on XPS analysis, we can confirm that the interfacial silicon oxide layer growth occurs during a stabilization period of argon ion-assisted growth in the presence of oxygen, prior to HfO2 deposition. In addition, we establish from XPS of the e-beam deposited HfO2 films that the energy separation between the Hf 4f 7/2 and the O 1s peaks is independent of the oxygen flow rate, or of the ion-assist process, and remains constant at 513. 70.1 eV. This is the separation found for a pure HfO2 powder sample measured by the same

spectrometer, confirm ing that the HfO2 films are stoichiometric. Usingangleresolved XPS, it is demonstrated that the interfacial SiOx thickness is in agreement with the HRTEM analysis to within experimental measurement error. This analysis is complemented by MEIS experiments providing accurate information regarding the chemistry of the IL and depth profile of the film stacks, as well as demonstrating the presence of Ar in the films formed with the argon ion assist. Electrical measurements based on Ni/HfO2/SiOx/ Si100 structures yield very low leakage current densities 10-4 A/cm2 measured at 1 V above the flatband voltage into accumulation for an EOT of 10.9 Å. The thickness uniformity of the HfO2 films over varying length scales is determined by AFM, SE, and current density versus voltage analysis. Surface roughness values from AFM are measured as 0.95 and 0.98 Å for samples A no ion assist and C ion assist, respectively. These results demonstrate the potential of the e-beam deposition technique to form suitable low EOT films for investigating fundamental properties of thin HfO2 layers and to screen other alternative high-k dielectric candidates for MOS applications.[18] M.F. Al-Kuhaili,32Hafnium oxide thin films had a relatively high refractive index and were dense and transparent down to a wavelength of 300nm. These properties coupled with the mechanical, thermal and chemical stability of hafnium oxide make this material a potential candidate for energysaving applications. This was demonstrated in this work by designing a heat mirror that had high transmittance in the visible and high reflectance in the near infrared. The agreement between the measured and calculated values of R and T was very close, suggesting that the D/M/D system consisted of welldefined layers with minimal inter diffusion between the layers.[19]18M F AlKuhaili1, S M A Durrani and E EKhawaja, The18effects of the deposition conditions on the refractive index, CO sensing and the compositional and structural properties of hafnium oxide thin films were investigated. Films deposited on unheated substrates were amorphous, whereas films deposited on heated substrates showed small diffraction peaks. The films were found to be optically inhomogeneous. The degree of in homogeneity increased with the substrate temperature. The refractive indices of the films were calculated taking the in homogeneity into account. The refractive index increased with the substrate temperature but decreased with the introduction of oxygen. This study showed that the CO-sensing properties strongly depend on the film porosity (as indicated by its refractive index) rather than its thickness or in

homogeneity. The sensitivity of the films increases with the film porosity.[ 5320] R. Thielsch*, A. Gatto, J. Heber, N. Kaiser, Single layer of SiO , Al O , andHfO9have been deposited onto fused silica by reactive evaporation, ionassisteddeposition and plasma ion assisted deposition. The coatings have been characterised with respect to their optical (n, k) and structural properties (crystallinity, density and surface roughness), as well as the laserinduceddamage threshold of HfO layers under 248-nm KrF excimer laser radiation. Reactive evaporated films exhibit the lowest absorption, which, together with a very low defect density, may explain the highest LIDT in

both the 1-on-1 and 1000-on-1 damage test modes of these films. Unfortu nately, evaporated films exhibit a reduced density which is responsible for their large capacity to incorporate residual contamination from the operational environment. ForHfO , the evaporated films suffer from the highest surface roughness due to their crystalline structure, which probably causes the highest scatter losses of all samples deposited by the three different technologies. While the reactive evaporation and the IAD processes appear to be well optimised with respect to low absorption and defect density, further improvement with respect to a lower absorption in the ultraviolet spectral region and a density of the films close to the bulk values can be achieved by optimization of the PIAD process for applications at short wavelengths.[ 21] Su Xing , Ninglin Zhang, et al,29Thermal oxidation of electron beam evaporated hafnium film is investigated under various oxidation temperatures. Hafnium is completely converted to hafnium oxide when oxidation temperature is higher than 500 8C, and the film is dominated by polycrystalline monoclinic phase. A surface-toward silicon migration and hafnium silicide formation occurs during the deposition process. After oxidation, silicides are converted to silicates, and form an interfacial layer between HfO and silicon substrate. Higher oxidation temperature results in diminishing silicide inside the film and interfacial layer, and greatly reduces leakage current caused by silicide. Further work should be carried out on minimizing silicide formation, controlling interfacial composition and quality.[22] Jonggi Kim a, Sunghoon Lee,25we have demonstrated that the bipolar resistivity switching of Ti/HfO2/Pt devices can be attributed to the migration of oxygen ions under the external field. From the cross-sectional HRTEM images, EDX, and XPS analysis, we also showed that the initial current before the electroforming and RESET-first resistive switching behavior was controlled by the thermal annealing process,

which produced the formation of the conductive path with the diffusion of oxygen atoms from HfO2 to the top Ti electrode. The RESET-first bipolar resistance switching behavior was determined by the formation of a conductive path with a thermal annealing process and the redox reaction with the migration of oxygen ions at the interface under external bias.[ 23] A. Salaün, H. Grampeix,12In this work, a preliminary screening of CMOS compatible oxides has been investigated for ReRAM devices. Amorphous and crystalline films were obtained, varying the deposition temperature or thickness. Our experiments don't show any significant impact of the material structure and roughness on the resistive switching of HfO2 and ZrO2. Despite some differences observed in the forming step, the low impact of crystallinity on the switching performance in HfO2 has been recently reported by Govoreanu et al. [13]. However, the nature of the bottom electrode could act upon the switching performance, especially for the cycling, since a degradation of the device was observed due to the aging of the oxide itself and/or the interface between the oxide and the bottom electrode. If the use of TiN as bottom electrode remains important for microelectronic compatibility, one could envisage the deposition of another top electrode than Au as already reported elsewhere [28] but this has to be performed keeping in mind the importance of the oxide/electrode couple, and the evolution of the device under bias voltage application.[ 24] C. Vallée a,*, P. Gonon, The34metal/high j electrode interface is crucial for MOS, MIM capacitors and MIM RRAM. For both MIM capacitors and MIM RRAM it has been shown that oxygen vacancies have an important role in linearity and SET/RESET mechanisms. Best results for HfO2 RRAM are obtained with Pt as bottom electrode instead of TiN. Moreover, magnitude of nonlinearities is reduced using high work function electrodes, or more precisely electrodes with low oxygen affinity. Finally to avoid unstable resistance switching as well as capacitance variation with voltage, the electrode must not react with oxygen during operation: noble metal electrode should be preferred.[ 25] Christian Walczyk, Damian Walczyk et al,3In summary, we demonstrated that the dominant conduction process in BEOL integrated 1 × 1 μm2 TiN/ HfO2 /Ti/TiN memory devices can be attributed to the Poole–Frenkel effect with a trap energy level of φ ≈ 0.2 eV below the conduction band edge. Positively charged oxygen vacancies are suggested as a possible candidate for the defect level. The electroformed MIM structures show reliable bipolar resistive switching characteristics in the 213–413-K temperature range.

The resistance ratioROFF/RON indicates clear temperature dependence: ROFF/RON3decreased with increasing the temperature. This is due to the fact that the OFF state current substantially increases with temperature, whereas theONstate can be described by a weak metallic-like characteristic. However, it is worth pointing out that the OFF-state current increment not only depends on the temperature but also on the I–V cycling. Further investigations are in progress to evaluate these experimental results for endurance and data retention measurements of integrated 1T1R devices [68]. The proposed QPC model can be consistently applied to explain the temperature dependence of the ON-state and OFFstate currents. As the QPC model was initially developed to describe the soft breakdown characteristics of transistor gate oxides, this result suggests that RRAM devices can be viewed as soft breakdown devices. To correlate the theory with materials properties, it is still necessary to gain a better insight into the exact atomic scale mechanism of the observed resistance-change effect in HfO2 -based MIM devices. For this purpose, nondestructive in situ hard X-ray photoelectron spectroscopy (HAXPES) measurements at the high-brilliance synchrotron radiation source PETRA III at Deutsches Elektronen-Synchrotron (DESY) are currently in progress.[26] Eunae Cho, Seungwu Han,30have carried out first-principles calculations on the Pt/ HfO2 interface in the presence of the oxygen vacancy. We analyzed the energetics and the charge transfer as the vacancy moves inside the oxide slab. It was found that the oxygen vacancy is strongly attracted to the interface and the charge transfer decreases monotonically as the vacancy moves away from the interface, although the amount of charge transfer is rather small. This charge transfer resulted in the decrease of the effective work function of Pt, consistent with the vacancy mechanism to explain the shift in the flat-band voltage and the Fermi level pinning.[27]19Fann-Wei Yanga, Kai-Huang Chenb,n, Chien-Min Chenga, FengYi Su, the19VO thin films were deposited on ITO/ glass substrate using the rf magnetron sputtering method. All the VO thin films developed in this study exhibited transparency within the visible range. In addition, the transparent RRAM devices exhibited the bipolar behavior. The conduction behavior of the sintered VO thin films exhibits the Ohmic conduction for applied voltage of 0.1–2.5 V. The as- deposited VO thin films exhibited the Child’s law in high applied voltage and it was at the trap center region. From these results, we conclude that the VO thin films will be an excellent candidate for the RRAM

memory devices or other devices in the application of system on panel (SOP) structures.[28] Francesca De Stefano a,⁎, Michel Houssa,7have presented a study of the nature of the conductive filament formed in HfO2 based ReRAM, with and without the insertion of an Hf IL acting as an oxygen scavenging layer. Specific attention has been given to the LR state as vehicle for the analysis of the properties of the conductive filament. The temperature dependence of the effective resistance associated with the filament formed in the two studied structures has been analyzed, and two different behaviours have been found. In particular, the effective resistance of the filament formed in cells without the insertion of the Hf IL was found to decrease with the temperature, showing an Arrhenius-like behaviour. This was interpreted as being due to the formation of a semiconducting-like filament in the ReRAM structure, likely related to the generation of a certain density of O-vacancies in the HfO2 layer, which causes a strong reduction of the effective energy band gap of the material, as predicted by DFT simulations [14]. Conversely, the insertion of an anodic metallic Hf IL between the TiN electrode and the oxide, was shown to lead to the formation of a metallic-like filament – despite the use of the same oxide material –probably related with a higher density of induced VO. Therefore, the insertion of an oxygen scavenger layer represents a way to improve the thermal behaviour of the CF and consequently the ReRAM characteristics.[29] K. McKenna a,b,⇑, A. Shluger,26combine conductive atomic force microscopy (CAFM) and first principles calculations to investigate leakage current in thin polycrystalline HfO2 films. A clear correlation between the presence of grain boundaries and increased leakage current through the film is demonstrated. The effect is a result of a number of related factors, including local reduction in the oxide film thickness near grain boundaries, the intrinsic electronic properties of grain boundaries which enhance direct tunnelling relative to the bulk, and segregation of oxygen vacancy defects which increase trap assisted tunnelling currents. These results highlight the important role of grain boundaries in determining the electrical properties of polycrystalline HfO2 films with relevance to applications in advanced logic and memory devices.[30] Kuan-Liang Lin, Tuo-Hung Hou,37we investigated the RS properties of HfO2 with various metal TEs. The bipolar RS is attributed to the bulk RS of HfO2 by the migration of oxygen anions, whereas the nonpolar RS with electrochemically active electrodes such as Ni and Cu is the result of the migration of metal cations, electrochemical metallization, and local Joule

heating effect. This model is well supported by both physical and electrical evidence, such as EDX, backside SIMS, temperature dependence of the resistance at LRS, and stability of LRS at elevated temperatures.[ 31]50P. Hu, X.Y. Li, J.Q. Lu, M. Yang, Q.B. Lv, S.W. Li,35bilayered Cu2O/CuO thin films were grown on Nb: SrTiO3 to form heterojunctions, and it shows good reproducible hysteresis and pronounced bipolar resistive switching behavior after voltage stress. In its initial state, this device did not show any current– voltage hysteresis while rectifying characteristics. When a sufficient forward bias was applied, the device was set to low resistance states and then switched to high resistance states by reversed biases. The prominent switching behavior can be ascribed to the change of the interfacial barrier potential profile, which is induced by carrier trapped– detrpped process due to oxygen vacancies.[32] S. Privitera a,⇑, G. Bersuker b, B. Butcher, The36conductive filament formed in the HfO2-based RRAM devices has been studied by two transmission electron microscopy techniques (dark field/Z-contrast STEM and EELS STEM), sensitive to either local scattering factor or plasmonic losses. Two different metal oxygen exchange layers were studied: Hf and Ti. In both the cases, the data indicate the presence of a nm-sized metallic filament in the formed devices, with composition dominated by Hf and Ti, respectively. This finding allows to link electrical and physical filament characteristics opening the way to better understanding of the switching mechanism.[33] Samuel R. Bradley a,⇑, Keith P.et al, The38behavior of oxygen atoms and vacancies at interfaces between hafnia (HfO2) and gate electrodes, titanium nitride (TiN) and Pt, has been studied using density functional theory (DFT). Interface models were developed and the band offsets calculated. In both metals, the incorporation of oxygen atoms from the oxide into the metallic layer was energetically unfavorable, except in the case of interfacial oxygen moving into a N vacancy in TiN.[34] T. Cabout a,⁎, J. Buckley a, C. Cagli, et al,20compared switching characteristics and capacitor- tocapacitor variability of MIM structures relying on HfO2 oxide layer sandwiched by either platinum or titanium–titanium nitride electrodes. Capacitor-like structures were deposited on top of a tungsten pillar and integrated in-between two interconnect metal lines. While Pt/HfO2/Pt capacitors exhibited a non-polar behavior, Ti/HfO2/TiN capacitors unambiguously showed bipolar switching. Quasi-static and dynamic measurements clearly demonstrated better performances for Ti/HfO2/TiN capacitors: (i) lower electroforming and set voltages with

significantly narrower capacitor-to-capacitor distribution functions; (ii) an improved data retention (10 years at 65 °C, instead of 50 °C for Pt electrodes); (iii) better dynamic electrical characteristics with lower set and reset voltages for ramp speed up to 107 V/s.[35] T.V. Perevalov , V.Sh. Aliev,42The oxygen deficiency defects in HfO2 were investigated theoretically and experimentally using hybrid DFT calculations and Xray photoelectron spectroscopy. It was proved that Ar+ ion bombardment of HfO2 isaccompanied by the formation of the oxygen vacancies and polyvacancies. Bombarding with Ar-ions of hafnia and nonstoichiometric hafnium oxide-like HfO [ films produce deep defect levels in the band gap of the material.[36] Venkatakrishnan Sriraman, Zhixian Chen, et al, showed24a uniform bipolar switching RRAM device has been discussed with evidence of device scaling down to 60nm. Self-compliance effect is presented in the scaled devices which eliminate reliability concerns caused by current overshoot during Set programming. Reset current reduction has also been observed as the device size is scaled down. This is of prime importance, for it reduces the power consumption. 1D-1R or 1T-1R integration can be realized using a diode or a transistor as the selection device at the backend of standard CMOS process. The low programming voltage, high endurance and retention characteristics of RRAM demonstrated its high potential to be used in embedded applications like code storage in microcontroller chips.[37] Wun-Cheng Luo a, Tuo-Hung Hou,14Although distinct RS modes induced by VF and MF have been shown to coexist in HfO2 RRAM in the literature, the transition between these two RS modes were long thought to be irreversible. By suppressing excessive Ni migration at electrical forming in a HfO2 RRAM with Ni TE, this study shows that VF and MF can coexist at the same percolation path in a two-step SET process, where the MF formation is facilitated by the preexisting VF in a dual filament model. A reversed sequence can be utilized to rupture MF first using Joule heating and then VF at strong electric field in a twostep RESET process. Furthermore, the HfO2 RRAM can be reversibly switched among three distinct states with significant difference in resistance by choosing appropriate operation conditions. The better understanding on the coexistence and reversible transition of RS induced by VF and MF may open up new opportunities for future high-density MLC RRAM.[38] X. Saura a,⇑, X. Lian, In this work we have explored the40fieldeffect control of the conduction in breakdown paths.For this purpose, we used specially designed metal nano-contact test40structures fabricated on top of an

insulating HfO2 layer and aback-gate. The breakdown path was created in the nanogap region by applying a current limited voltage ramp. The lateral40size of the CF can be controlledduring the BD process by changing the current compliance limit and alternatively, by using a partial reset methodology consisting in40voltage ramps with progressively increasing maximum voltage. To support the40idea that a BDpath is created in the nanogap,the experimental data was successfully fitted to the40QPC model of post-BD conductionboth40in the HBD state and the SBD state(above and below the quantum conductance level). Weak40field- effect control of the CF conduction has beenexperimentally demonstrated both in the HRS and in the LRS. Experimental results are supported by simulations based on a simple top of the barrier model. Simulations confirm the occurrence of extreme short-channel effects, which pose serious difficulties to observe an effective field-effect control of the CF conduction by the gate electrode. A careful electrostatic design of the device is thus required to minimize short channel effects, maximizing the gate to CF coupling and allowing a robust observation of the field-effect control of the BD path conduction.[39] Fu-Chien Chiu, analyzed the55conduction mechanisms in dielectric films are discussed in detail inthis review.8There are two types of conduction mechanisms in dielectric films, i.e.electrode-limited conduction mechanism and bulk-limited conduction mechanism. The46most important parameter in the electrode-limited conduction mechanisms is the barrier height at the electrode-dielectric interface, meanwhile, the51most important parameter in the bulk-limited conduction mechanisms is the trap energy level in dielectric films.During the analysis of conduction mechanisms, the dielectric constant is a key factor. For the case of thermionic emission process, the dielectric constant61should be equal to the optical dielectric constantif the electron transit time is shorter than the dielectric relaxation time. Based on the electrode-limited conduction mechanisms, the8physical properties of the barrier height at the electrode-dielectric interface and the effective mass of the conduction carriers in dielectric films can beobtained. Similarly, based46on the bulk-limited conduction mechanisms,the physical properties of45the trap level, the trap spacing, the trap density, the carrier drift mobility, the dielectric relaxation time, the density of states of the conduction band indielectric films can be determined. In general, the conduction mechanism in dielectric films may be influenced by the following factors: temperature, electric field, stress condition, device structure (MIS or MIM),electrode material, film species (SiO2, high-dielectric-constant material, or ferroelectric material),film thickness, deposition method, and so on. Because all the mentioned

factors can affect the dielectric-electrode interface and/or the dielectric bulk property, all the factors are important in the studies of dielectric conduction mechanisms.[40]62Justin C. Hackley 1, Theodosia Gougousi,The properties of HfO213films grown by ALD using the TEMAHf+ H2O and TDMAHf+ H2Ochemistries have been examined. The two precursors belong to the same amide family and result in similar growth conditions. For TEMAHf the ALDminimumwas found at 250 °C achieving a GPC of 1.2 Å/cycle while for TDMAHf the ALD minimum was found at 275 °Cachieving a GPC of 1.0 Å/cycle. Common impurities detected in the films are carbonates and terminal and bulk

OH. Most of the OH and part of the carbonates are desorbed after inert anneals. Film crystallization is found to depend on the film thickness; thickerHfO2 films crystallize at lower temperatures, and predominantly in the monoclinic phase. Films are fairly smooth with the rms roughness increasing with film thickness and post deposition treatment temperature. There is excellent correlation in the appearance of well resolved peaks in the far IR spectrum of HfO2 with film crystallization as detected by XRD. The index of refraction for all films is veryclose to that for bulk crystalline HfO2 and appears to be affected very weakly by post deposition thermal treatment.[ 41] HfOz15thin films were deposited on glass substrates by ALE. Using HfC14 and water as reactants a growth rate of 0.5 • per cycle was obtained at 500 °C. The resulting films possessed good thickness uniformities. According to RBS no chlorine was left in the films.15XRD indicated that the film growth was initiated15with a thin amorphous or poorly crystalline layer after 15which the development of polycrystalline monoclinicHfO215began. The crystallites were preferably oriented15having the [100] direction as the most pronounced15orientation. With increasing film thickness theorientation became stronger.15AFM measurements revealed that significant surface 15roughening took place during the film growth. The rms15roughness increased linearly with increasing filmthickness.52Cross-sectional SEM micrographs indicated that the films consisted of densely packed grains.As a15consequence of their high density, the films possessed15high refractive indices and low hydrogen contents in thebulk of the films. As compared with TiO2 and ZrO215films grown by analogous ALE processes, themost significant difference15exhibited by the HfO2 films was their strong15crystallinity. The HfO2 films were also the smoothestones of these three films.[42]4N.M. Kamble, R.B. Tokas,4Optical properties of e-beam co-evaporated composite thin films of HfO2:SiO2 have

been studied by transmission measurements over the wavelength range of 190e1200 nm using a UV/VIS/NIR spectrophotometer. The transmission spectra of the samples have been fitted with theoretically generated spectra where two different dispersion relations viz., the single effective oscillator model and the Tauce Lorentz model have been employed to generate the theoretical variation of optical constants of HfO2:SiO2 composites as a function of wavelength. The modeling of the transmission spectra with TL model shows better agreement with the experimental spectra. The analysis by TL model yields the band gap of the samples, which show a linear variation of the band gap from the value of HfO2 (5.7 eV) to that of SiO2 (7.7 eV) as SiO2 content in the films increases. The refractive index values obtained for the composite films from both the models are almost same and it has been observed that the refractive index (at 550 nm) varies from 1.96 (value for pure HfO2 film) to 1.7 (value for the composite film with 90% SiO2) in a non-linear fashion with the film having HfO2:SiO2 ratio of 90:10 showing the maximum value of refractive index. The XRR analysis shows that the film density also enhances with 10% SiO2 incorporation in HfO2, resulting in higher refractive index in that particular composition. The above findings corroborate the results of our earlier investigations on the composite films by AFM and EXAFS measurements.[43] Murad Ali Khaskheli, Ping Wu*, reported28dielectric and structural properties of Ti and Er co- doped HfO2 (HfTiErOx) thin films at different substrate temperatures. The film at 400 C substrate temperatures has the highest k value of 33, improved flat band voltage of 0-3 V, small hysteresis voltage and the significant interface-state density, which shows better dielectric properties for new high-k microstructure. XPS and XRD results revealed that Hf-Ti-Er-O bond may exist in addition with Hf-O, Hf-Er-O and Hf-Ti-O bonds, while the change in chemical structure and degradation of crystallization quality of HfO2 thin films are directly related to Ti and Er codoping.[44] Literature Review Chapter N0.434 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review ChapterN0. 443Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature Review Chapter N0. 4 Literature

Review ChapterN0.444 Page 74 Page 75 Page 76 Page 77 Page 78 Page 79 Page 80 Page 81 Page 82 Page 83 Page 84 Page 85 Page 86 Page 87 Page 88 Page 89 Page 90 Page 91 Page 92

Related Documents


More Documents from ""