List A 1

  • June 2020
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View List A 1 as PDF for free.

More details

  • Words: 461
  • Pages: 2
Curso: Tecnologia em Sistemas para Internet Disciplina: Lógica Digital Professor: Ricardo Menotti

1ª Lista de Exercícios  1. Determine por meio de manipulação algébrica quais das expressões a seguir são verdadeiras: a. x1 + x 2 = x1 x 2 + x1 x 2 + x1 x 2 b. xyz + xyz + xyz + xyz = (x + y)z + xyz c. d. € e. x1 x 2 x 3 + x1 x 2 + x1 x 2 x 3 + x 2 x 3 = (x1 + x 2 )(x 2 + x 3 )(x1 + x 3 ) € f. (x1 + x 3 )(x1 + x 2 + x 3 )(x1 + x1 ) = x1 x 2 + x1 x 3 + x1 x 3 + x 2 x 3 2. Utilize diagramas de Venn para provar as expressões do exercício 1. 3. Utilizando diagramas de Venn: € a. Mostre a localização de cada mintermo em um diagrama de três € variáveis. b. Mostre, em um diagrama separado, cada produto da função . Encontre a mínima soma dos produtos de . 4. As figuras a seguir demostram duas tentativas de desenhar um diagrama de Venn com quatro variáveis. Para cada uma delas, explique porque o diagrama não está correto:

5. A figura a seguir representa um diagrama de Venn de quatro variáveis, destacando os mintermos , e . Identifique os demais mintermos.

Curso: Tecnologia em Sistemas para Internet Disciplina: Lógica Digital Professor: Ricardo Menotti 6. Encontre a mínima soma dos produtos que implemente as funções a seguir: a. b. 7. Encontre o mínimo produto das somas que implemente as funções a seguir: a. b. 8. Desenhe o circuito mínimo que possua três entradas, o qual produza a saída 1 quando duas ou mais entradas tiverem o valor 1, caso contrário a saída deve ser 0. 9. Para os diagramas a seguir, construa a mínima soma dos produtos que implemente a função :

a.

b. 10. Implemente as funções do exercício 9 em VHDL no Xilinx ISE, crie um testbench em VHDL e verifique se as saídas estão corretas. 11. Refaça o exercício 10 utilizando esquemáticos para implementar as funções e formas de onda (waveforms) para realizar os testes. 12. Considere as seguintes atribuições em VHDL: f1 <= (x1 AND x3) OR (NOT x1 AND NOT x3) OR (x2 AND x4) OR (NOT x2 AND NOT x4); f2 <= (x1 AND x2 AND NOT x3 AND NOT x4) OR (NOT x1 AND NOT x2 AND x3 AND x4) OR (x1 AND NOT x2 AND NOT x3 AND x4) OR (NOT x1 AND x2 AND x3 AND NOT x4);

a. Escreva em um mesmo projeto, o código completo para implementar as duas funções. b. Utilize simulação para mostrar que

Related Documents

List A 1
November 2019 5
List A 1
November 2019 4
List A 1
June 2020 0
List A 1
November 2019 9
List A 1
November 2019 4
List A 1
November 2019 5