Lab Manual Vlsi

  • Uploaded by: shweta
  • 0
  • 0
  • August 2019
  • PDF

This document was uploaded by user and they confirmed that they have the permission to share it. If you are author or own the copyright of this book, please report to us by using this DMCA report form. Report DMCA


Overview

Download & View Lab Manual Vlsi as PDF for free.

More details

  • Words: 137
  • Pages: 1
RAJIV GANDHI PROUDYOGIKI VISHWAVIDYALAYA, BHOPAL New Scheme Based on AICTE Flexible Curricula Electronics & Communication Engineering, VI-Semester Subject: VLSI Circuits & Systems List of Experiments

Course Code: B.E. 1. To design half adder using Verilog HDL. 2. To design full adder using Verilog HDL. 3. To design 4:1 mux using Verilog HDL. 4. To design 2:4 decorder using Verilog HDL. 5. To design 3:8 decorder using Verilog HDL. 6. To design 4:2 encorder using Verilog HDL. 7. To design D flip- flop adder using Verilog HDL. 8. To design T flip-flop using Verilog HDL.

Examination Scheme: EC-6004 VLSI Circuits & Systems 70 20 10 30 10 10 150 3 - 2 4 IA EndSem

Term work,Lab Work & Sessional

30

20

Prof.Shweta Agrawal Lab In-charge

Prof. Shweta Agrawal Faculty In-charge

Total 50

Prof. P.Badal Head of Department

Related Documents

Lab Manual Vlsi
August 2019 28
Vlsi Lab
October 2019 30
Lab Vlsi 1.docx
June 2020 9
Vlsi
November 2019 19
Vlsi
November 2019 21

More Documents from "saranya"

Sw
May 2020 21
Faqs-pgadm20191
August 2019 38
Lab Manual Vlsi
August 2019 28
Final Internship Report.docx
November 2019 54
The Companies Act
June 2020 11